Перейти к содержанию
    

Как вы проектируете девайсы Xilinx 7-го поколения и выше  

27 проголосовавших

  1. 1. Собственно опрос по проектированию в среде Vivado. Без тестбенч и других специальных субпрограмм для синтеза, моделирования, разработки.

    • Текстовый редактор + Vivado GUI. Block Design включительно
      14
    • Текстовый редактор + скриптование TCL
      4
    • Текстовый редактор онли. Только классика, только хардкор:) (текст + кнопки Vivado)
      0
    • Разработка в автоматизированном непроприетарном GUI + возможно допилить вручную (К примеру MATLAB)
      1
    • неVivado Текст+GUI
      8
    • неVivado GUI
      0
    • неVivado Текст онли
      0


Собственно хотелось бы узнать кто как проектирует "в среде" Vivado. Абстрагируемся от названия текстового редактора, производителя синтезатора и цвета вейвформ. Просто текст или только автоматизированные среды разработки. Или и то и другое по немногу.

Для пользователей неВивадо отдельные пункты ;) Включительно ISE

з.ы. Возможно такое мнение уже спрашивалось в прошлом, но хочется узнать настоящие тенденции.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ответил "неVivado Текст+GUI", но на самом деле Текст+GUI+скрипты

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

неплохо было бы дать пояснения к каждому пункту, а то получился опрос на птичьем языке, например:

чем отличается

  •  
    Quote

     

    • Текстовый редактор + скриптование TCL.
    • Текстовый редактор онли (только классика, только хардкор:)
    •  

     

что имелось в виду под фразой:

  •  
    Quote

     

    • "автоматизированном непроприетарном GUI"

     

     

  •  

    ??????

     

     

    2

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 hours ago, Doka said:

чем отличается

Quote
  • Текстовый редактор + скриптование TCL.
  • Текстовый редактор онли (только классика, только хардкор:)

 

Текст + скрипты - это менять код в текстовом редакторе и скриптами выполнять всё остальное через консоль, к примеру.

Только текст - это код в редакторе, запуск через интерфейс (как новички обычно делают)

12 hours ago, Doka said:

что имелось в виду под фразой:

Quote
  • "автоматизированном непроприетарном GUI"

 

Это создание проекта в Матлабе, к примеру. Как бы GUI но как-бы не Vivado

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в вопроснике для полноты картины не хватает только пункта - ручной синтез бинарника в hex-редакторе

вивада это инструмент для быстрого проектирования сложных проектов для современных плис - пользуюсь bd/hls/sdk/чипскоп - vhdl только там где иначе никак

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ничего не ответил, вопросы не корректные. Работаю так, чтоб в текущих условиях, с учётом всех факторов, максимально быстро решить задачу и отдыхать. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не вижу варианта для нубов - только GUI Vivado.

 

Непонятно какой индус придумал такую среду человек - машина...

 

А tcl это батники? Как их пускать из текстового редактора?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 6/16/2019 at 10:27 AM, _4afc_ said:

Не вижу варианта для нубов - только GUI Vivado.

Это пункт №3 :)

On 6/16/2019 at 10:27 AM, _4afc_ said:

А tcl это батники? Как их пускать из текстового редактора?

В некоторых текстовых редакторах (например Sublime) есть возможность запуска скриптов. Плюс командная строка - это по сути тот же текстовый редактор, только минимальный.

On 6/15/2019 at 11:07 PM, fguy said:

вивада это инструмент для быстрого проектирования сложных проектов для современных плис - пользуюсь bd/hls/sdk/чипскоп - vhdl только там где иначе никак

Я вот смотрю на этот BD и плакать хочется. Вместо быстрого проектирования с разными компонентами в тексте, приходится днями сидеть и наклацывать интерфейсы/компоненты в графическом редакторе, чтобы потом свои модули можно было юзать на схеме. Либо я где-то не разбираюсь :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

52 minutes ago, Nick_K said:

...

Я вот смотрю на этот BD и плакать хочется. Вместо быстрого проектирования с разными компонентами в тексте, приходится днями сидеть и наклацывать интерфейсы/компоненты в графическом редакторе, чтобы потом свои модули можно было юзать на схеме. Либо я где-то не разбираюсь :)

Не разбираетесь. :acute:Можете быстро на проектировать компоненты в тексте TCL скрипта который потом сгенерирует вам нужную BD. И никакого клацанья мышкой. :dance2:

Удачи! Rob.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

26 minutes ago, RobFPGA said:

Приветствую!

Не разбираетесь. :acute:Можете быстро на проектировать компоненты в тексте TCL скрипта который потом сгенерирует вам нужную BD. И никакого клацанья мышкой. :dance2:

Удачи! Rob.

А можно ссылку на какой-то гайд по этим манипуляциям или лабы какие? А то уже столько даташитов перелопатил, что каша в голове.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, Nick_K said:

А можно ссылку на какой-то гайд по этим манипуляциям или лабы какие? А то уже столько даташитов перелопатил, что каша в голове.

например, https://github.com/analogdevicesinc/hdl/tree/master/library/util_wfifo

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, Nick_K said:

Я вот смотрю на этот BD и плакать хочется. Вместо быстрого проектирования с разными компонентами в тексте, приходится днями сидеть и наклацывать интерфейсы/компоненты в графическом редакторе, чтобы потом свои модули можно было юзать на схеме. Либо я где-то не разбираюсь :)

В "тексте" у меня только ядра написанные в HLS на C++ - создание, правка и синтез делается по ядерно в отдельной среде Vivado HLS. BD содержит как мои ядра так и штатные. Уровень автоматизации, редактор и верификатор BD желают еще много лучшего, но это гораздо удобнее чем в тексте сводить сотню-другую ядер в один проект. Для уменьшения "площади" общей картинки в бд использую объединение ряда ядер по функциональному признаку в один "кубик" (hierarchy). При правильной организации бд имеем вполне читаемую и удобоваримую схему проекта.

2 hours ago, RobFPGA said:

Можете быстро на проектировать компоненты в тексте TCL скрипта который потом сгенерирует вам нужную BD. И никакого клацанья мышкой. :dance2:

Для генерации БД из пары-другой сотен ядер (по счетчику загрузки вивады) написать скрипт будет еще той задачкой и это для плис с 200 кFF, а в более крупных проекты будут содержать еще больше ядер.

Организация работы в виваде дело сугубо личное и каждый тут сходит с ума по своему, но отходить шибко далеко от авторской концепции имхо точно не стоит.

Складывается впечатление что народ упорно тащит за уши методы работы времен вертех 2-6 с исе-альдек на новые чипы и вивадо.

Изменено пользователем fguy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, fguy said:

BD содержит как мои ядра так и штатные.

Со штатными вполне согласен. Но вот давеча нужно было написать регистровую память на 32 регистра * 32 бита... Чтобы эта "дребедень" нормально отрисовывалась в БД (конфигурация количества выходов задаётся параметром) пришлось вручную обзывать все 32 регистра, а потом ещё в компонент визарде редактировать. Получается, что концепция то хороша, но реализация... Вот почему нельзя было сделать оперирование векторами/массивами для упрощения жизни, а не обязательно создавать разные порты с РАЗНЫМ именем?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

37 minutes ago, Nick_K said:

Получается, что концепция то хороша, но реализация...

Концепция подразумевает работу с шинами AXI и AXI-stream между IP ядрами, а не разворот vhdl-модуля построчно на "квадратики" БД. 

Вы практически подтвердили мое предположение:

2 hours ago, fguy said:

Складывается впечатление что народ упорно тащит за уши методы работы времен вертех 2-6 с исе-альдек на новые чипы и вивадо.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...