Перейти к содержанию
    

Навеяло этой темой.

Также периодически получаю подобные сообщения Квартуса при компиляции, всегда их игнорировал - раз работает, то и ладно, а сейчас решил разобраться.

Надо ли вообще входные тактовые сигналы дополнительно описывать и, если да, то как это делается?

Где почитать про структуру этого загадочного файла *.sdc?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 minutes ago, MrGalaxy said:

Надо ли вообще входные тактовые сигналы дополнительно описывать и, если да, то как это делается?

если всёравно куда идти - то какая разница по какой дороге? (если важен результат - рабочий битстрим, то надо)

 

5 minutes ago, MrGalaxy said:

Где почитать про структуру этого загадочного файла *.sdc?

mnl_timequest_cookbook.pdf

5 minutes ago, MrGalaxy said:

раз работает, то и фиг бы с ним

если это какой-нить UART  или простой FSM на 10МГц - то может работать и так...

но опять же - вы уверены, что во всём диапазоне температур и отклонений напряжения ядра?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Без .sdc уже никак!

Я для простых проектов делаю тоже просто - после компиляции открываю TimeQuest и сохраняю всё то, что САПР там напридумывал себе. Получаю файл сразу с правильной структурой. Потом убиваю почти всё, правлю описание входных клоков, добавляю  derive_pll_clocks  и прочее. Для начала этого хватает.

Если проект изначально сложный - то у меня уже достаточно готовых наработок под разные интерфейсы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

57 минут назад, Doka сказал:

mnl_timequest_cookbook.pdf

Благодарю.:hi:

58 минут назад, Doka сказал:

вы уверены, что во всём диапазоне температур и отклонений напряжения ядра?

В диапазоне температур испытывал, всё работает, отклонения питания ядра не пробовал. Думаю, сюрпризов не будет, я привык запас давать: смотрю временные параметры в результатах компиляции и, если надо, даю необходимую задержку при считывании результата.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, MrGalaxy сказал:

Где почитать про структуру этого загадочного файла *.sdc?

Тут нет никакой структуры. sdc — это tcl-скрипт. И исполняется он последовательно сверху вниз.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 минуты назад, warrior-2001 сказал:

после компиляции открываю TimeQuest

простите чайника, это где?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот серия статей отличная. От форумчанина. После них мой проект начал работать как надо

https://www.kit-e.ru/articles/plis/2010_9_51.php

 

чиать удобней прям с журнала в pdf

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, andrew_b сказал:

Тут нет никакой структуры. sdc — это tcl-скрипт. И исполняется он последовательно сверху вниз.

Тогда, возвращаясь к первому вопросу, как сообщить Квартусу, что некоторые входные сигналы используются как синхросигналы, и надо ли это делать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто подскажет, где находится TimeQuest, который можно открыть после компиляции?

Изменено пользователем MrGalaxy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 minutes ago, MrGalaxy said:

Кто подскажет, где находится TimeQuest, который можно открыть после компиляции?

 

Слева ход компиляции. Пункт Timing Analysis
Справа результат - отчет по нему. Красным помечено, что дизайн не уместился по таймингам на определенных режимах

Снимок экрана от 2019-06-04 15-45-21.png

Изменено пользователем new123

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 минут назад, new123 сказал:

Слева ход компиляции. Пункт Timing Analysis
Справа результат - отчет по нему. Красным помечено, что дизайн не уместился по таймингам на определенных режимах

 

Спасибо, открыл.

Только у меня там пусто и внизу такой отчёт:

Цитата

Info: *******************************************************************
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
    Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Full Version
    Info: Processing started: Tue Jun 04 15:46:08 2019
Info: *******************************************************************
Info: The Quartus II Shell supports all TCL commands in addition
Info: to Quartus II Tcl commands. All unrecognized commands are
Info: assumed to be external and are run using Tcl's "exec"
Info: command.
Info: - Type "exit" to exit.
Info: - Type "help" to view a list of Quartus II Tcl packages.
Info: - Type "help <package name>" to view a list of Tcl commands
Info:   available for the specified Quartus II Tcl package.
Info: - Type "help -tcl" to get an overview on Quartus II Tcl usages.
Info: *******************************************************************
project_open "G:/Documents/Quartus Projects/2ХТС-40М/Отладка Бобра на 5576ХС1Т-8/Timer.qpf" -revision Timer
create_timing_netlist -model slow
ERROR: FLEX10K Device family is not supported by the TimeQuest Timing Analyzer.

create_timing_netlist -model slow
ERROR: FLEX10K Device family is not supported by the TimeQuest Timing Analyzer.

 

Печально, но семейство не поддерживается.

 

ЗЫ.  Хорошая вещь, судя по Вашему скрину: смотрю и температурный анализ есть.

Изменено пользователем MrGalaxy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

35 минут назад, new123 сказал:

Вот серия статей отличная. От форумчанина. После них мой проект начал работать как надо

https://www.kit-e.ru/articles/plis/2010_9_51.php

 

чиать удобней прям с журнала в pdf

Спасибо! :hi:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

31 minutes ago, MrGalaxy said:

Печально, но семейство не поддерживается.

на торрентах пишут, что надо для девайса юзать Classic Timing Analyzer

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...