Перейти к содержанию
    

  • Production devices
     
    • Space-Grade Kintex UltraScale:- XQRKU060
    • XA Kintex-7:- XA7K160T
    • Virtex UltraScale+ HBM (-3 speedgrades):- XCVU31P, XCVU33P, XCVU35P, XCVU37P
  • Vivado
    • Command line based web-installer

    • Enhanced VHDL2008 synthesis construct support

    • Integrated GitHub download of 3rd party boards

    • Congestion metrics, Improved QOR suggestions as well as general SSI QOR improvement

    • Enhanced debug capabilities: IBERT GTM, RF Analyzer, HBM Monitor and Bus plot view

 

 

 

Full Release notes: https://www.xilinx.com/products/design-tools/vivado.html#new

 

 

PS: отпишитесь кто попробовал - есть знаковые улучшения рантайма/QoR по сравнению с 2018.3 ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 часов назад, des00 сказал:

работает еще хуже?

Пока непонятно, но после конвертации проекта с Block Design из 2018.2 в 2019.1 он не собрался, начали лезть ошибки в xml-файлах ядер (BOM).

Пока основная гипотеза, что ему проблема в десятичных разделителях и ему для работы нужен LC_NUMERIC =en_US.UTF-8

После export LC_NUMERIC =en_US.UTF-8 && vivado дело пошло. :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Doka said:

PS: отпишитесь кто попробовал - есть знаковые улучшения рантайма/QoR по сравнению с 2018.3 ?

По ощущениям работает быстрее, (минимальный проект: синтез дольше/имплементация быстрее) хотя вылеты остались старые (не апдейтил хэдэры, моделирование осталось больным). Всё делаю в интерфейсе.

@makc Вы под Линуксом работаете? Заголовки подписывали?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 минуту назад, Nick_K сказал:

Вы под Линуксом работаете? Заголовки подписывали?

Да, Debian 9.9 (stretch)

Какие заголовки Вы имеете в виду?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

UPD

завели отдельную страничку для whats new: https://www.xilinx.com/products/design-tools/vivado/vivado-whats-new.html

Spoiler

Vivado Tools

  • General

    • Support for command-line based Web Installer has been added that enhances user experience and productivity for installing Xilinx tools
    • Disk usage optimization enabled to reduce install footprint of Vivado tool
    • Xilinx has discontinued offering DVDs for Vivado tool
       
  • System Generator for DSP

    • 2 New Super-Sample Rate (SSR) Blocks:Vector Assert and Vector Relational blocks added to the Xilinx SSR Block Library for building Super-Sample Rate (SSR) Designs for Xilinx devices, including the Zynq UltraScale+ RFSoC parts. Please refer to the User Guide for more information on Super-Sample Rate designs and the new block library.
    • Supported MATLAB Versions:R2018a, R2018b and R2019
       
  • Vivado High Level Synthesis

    • C functions can be tagged as black-boxes, replaced by equivalent RTL modules supplied by the user
    • The scope of dataflow applicability can now be extended to support multiple readers of an array through the new “stable” pragma/directive
    • A sequence of dataflow ping-pong buffers can be specified through the stream pragma/directive to improve parallel execution
    • Modified resource pragma/directive for memory allows to specify storage type and latency
    • User can set ap_ctrl_none scoped to a dataflow region to improve throughput
    • C libraries
      • C++ templated super sample rate (SSR) FFT function. Systolic architecture supporting multiple data samples (integer or fixed point) at each clock cycle
      • Enhanced OpenCV support through xfOpenCV (release notes)
      • The full set of math.h functions is now natively optimized for fixed point data types
         
  • RTL Synthesis

    • Added VHDL-2008 features including generics in packages, generic types in entities, and functions in generics.
    • Incremental Synthesis now available, with optional auto-incremental mode for Vivado projects.
       
  • Model Composer

    • DSP Block Library: New FFT, IFFT and FIR blocks are now available to design and implement signal processing algorithms with Model Composer
    • Enhancements to Throughput Control: Expanded list of blocks supported for Throughput Control. Build designs with supported blocks and control the throughput requirements of the implementation without making any structural changes to the design
    • Additional Blocks that Support Streaming Data: Design and Implement algorithms with high-throughput requirements using an expanded set of blocks that support operations on streaming data. Examples: Look-up Table, Delay, Matrix Multiply, Submatrix etc.
    • Enhanced Complex Support in C/C++ Function Import: Added support for importing functions that use hls::x_complex types as well, in addition to std::complex, expanding the support for complex signals in custom blocks.
    • Enhancements to C/C++ Function Import: Create custom "Source" blocks for your design using the xmcImportFunction feature
    • Improved Support for Row-Matrix and Column-Matrix Signal Dimensions: Improvements to the code generation infrastructure to handle Row-Matrix [Nx1] and Column-Matrix [1xN] signals in the design, resulting in improved performance.
    • Supported MATLAB Versions: R2018a, R2018b and R2019a
       
  • Interactive Design Environment

    • Automatically add new runs to the project summary dashboards
    • Ability to'Save As' for report strategies
       
  • Board Flows and Example Designs

    • Download and install third party boards directly from Github with a single click in the GUI
       
  • Vivado Simulator

    • Introducing support for SystemVerilog functional coverage and report generation(.txt or .html)
    • Support for assertion on property and sequence in concurrent region
    • Enhanced constraint randomization supportNew protocol instance window to display AXI interfaces in design
    • “Mark Simulation” feature in block diagram to add AXI interfaces in waveform viewer directly
       
  • IP Security

    • Encrypted blocks in the design will be hidden in Schematic and Hierarchy viewer. New right xilinx_schematic_visibility has been introduced that can be toggled on/off to change the default behavior
    • Updated Xilinx Vivado public key as a part of regular security update
       
  • Implementation

    • New AXI Regslice IPs to cross SLRs at high speed and automatically insert pipelines.
      • Improves Virtex UltraScale+ HBM design performance (up to 450 MHz).
      • Enabled for all UltraScale and UltraScale+ devices.
      • Property-based mechanism available for custom busses and interfaces.
    • Faster physical optimization of high-fanout nets during placement.
    • Automatic SLR crossing register usage is enabled to boost performance and reduce QoR variation
    • Report Methodology runs up to 2 times faster for designs with many timing exceptions.
    • opt_design adds an SRL remap option to convert between SRL shift register primitives and register chains. Allows balancing utilization and performance.
       
  • Constraints and Analysis

    • Soft Pblocks: Pblock boundaries can be made soft to allow cells to move as needed to improve performance.
    • SLR Pblocks: Pblock ranges can now be specified using SLRs for much simpler definition.
    • The report_methodology command adds new timing-related methodology checks.
    • Suggestions from report_qor_suggestions (RQS) are now object-based and are automatically applied by implementation flow commands.
    • The report_ram_utilization command is rebuilt to provide more meaningful statistics on sparseness and timing criticality.
       
  • Power Analysis

    • UltraScale+ XPE includes more detailed RF Data Converter settings for power analysis of Zynq UltraScale+ RFSoC Gen 3 devices.
    • UltraScale+ XPE adds an HBM wizard for system-level parameter entry for HBM power analysis, automatically generating the corresponding spreadsheet entries.
       
  • Vivado Debug

    • IBERT GTM: GTM transceivers line rates of 9.8 Gb/s up to 58 Gb/s using PAM4 and NRZ modulations are now supported in IBERT design for GTM and Serial I/O Analyzer. Forward Error Correction (FEC) mode with PAM4 signaling is provided in 160-bit data width mode and internal PRBS patterns (no FEC support for NRZ). In addition,new plotting features are offered for eye slicers, histograms, and signal-to-noise ratio information of different links.
    • Busplot Viewer: Logic Analyzer now offers the Busplot Viewer capability for debugging DSP & RF applications. This allows users to plot different graphs based on the probe values vs. time or samples as well as other probes data. The Viewer lets user to select any signal to use as data for X and Y axis as well as plotting multiple graphs on the same plot.
    • HBM Monitor: New memory debug capability for monitoring HBM design status and performance. Similar to memory calibration debug, the HBM Monitor dashboard will show calibration status and static temperature of HBM memory modules along with various throughput information and monitor activity on different channels.
    • RF Analyzer: The RF Analyzer tool for debugging ZU+ RFSoC devices is now available. The tool is primarily used on user boards to help understand the performance of the board in high frequency applications. The RF Analyzer uses the same basic GUI as the RFSoC Evaluation Tool. While offering many similar features, RF Analyzer is board-independent. Without using specific board implementations information, RF Analyzer relies on BRAM buffers instead ofDDR RAM, requires users to configure an external PLL if the board requires so, and communicates via JTAG between the host PC and the target board.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, makc said:

Да, Debian 9.9 (stretch)

Какие заголовки Вы имеете в виду?

Quote from https://wiki.archlinux.org/index.php/Xilinx_Vivado

Vivado HLS testbench error with GCC

Vivado requires an older version of glibc (2.26 as of vivado 2018.1).

The solution proposed in this thread from Xilinx forums suggests to update the fixed headers shipped by Xilinx.

For vivado 2017 and newer, run:

# /opt/Xilinx/Vivado/2018.1/lnx64/tools/gcc/libexec/gcc/x86_64-unknown-linux-gnu/4.6.3/install-tools/mkheaders /opt/Xilinx/Vivado/2018.1/lnx64/tools/gcc

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я внутренним симулятором Vivado не пользуюсь, так что ничего определенного на этот счет сказать не могу. Но команда эта (mkheaders) успешно отработала.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

может подскажет кто:  а где почитать про

  • Soft Pblocks: Pblock boundaries can be made soft to allow cells to move as needed to improve performance.

документ по properties пока не обновлён

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добавили софт ядра Cortex-M1 и Cortex-M3, подаренные ARM'ом. В качестве компилятора/отладчика можно использовать Keil.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый вечер всем, а скачать с "альтернативного" сайта какого-нибудь можно? Может кто выкладывал уже?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добавили микросхемы

Vivado Design Suite 2019.1.1 is now available with support for

  • Production devices enabled:
    • Zynq UltraScale+ RFSoC (-2I, -2LI): XCZU39DR
    • XA Kintex-7 (-1Q): XA7K160T
    • XA Zynq UltraScale+ MPSoC (-1Q): XAZU7EV, XAZU11EG, XAZU7EG

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...