Перейти к содержанию
    

Какой язык, плис, среда?

ТС порекомендовал бы присмотреться к Lattice machxo и machxo2. Наверно, самое дешевое, что есть из близкого к его потребностям.

Изменено пользователем Viktuar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 hours ago, MegaVolt said:

Сейчас платка со спартаном 6 на АЛИ начинается по цене от 20$.
Внутри разводиться Microblaze и ещё остаётся куча места для любой перефирии. Т.е. мы имеем 100-200МГц 32битный процессор при желании даже с плавающей запятой и кучу периферии которая нам необходима. 
К этому всему добру гораздо проще подключается любой экран который общается с буфером аппаратно и нет проблем ни с времянкой ни с программистами. 

Так-то оно так (хотя, кажется, для microblaze в S6 больше 90МГц не вытянуть), но в микроконтроллере помимо этого будет еще 1-3МБ флеша, 0.5-1МБ RAM, RTC, АЦП/ЦАП, компараторы. А к ПЛИС придется цеплять внешние микросхемы и организовывать интерфейсы к ним. Да и потребление будет больше на порядок, а то и два. Имхо, синтезируемый проц имеет смысл использовать только если нужна экзотическая периферия и чтоб сидела прямо на системной шине (thruput, latency и всё такое).

Изменено пользователем Viktuar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

43 minutes ago, alexunder said:

MachXO2 бывает в 32-pin QFN и 100-pin TQFP, оба прекрасно паяются радиолюбительскими средствами.

Если лень паять, то вот готовые платки семейства TinyFPGA

image.thumb.png.679308a22ea8f998e63f229a4e87f56e.png

И не нужно бояться VHDLа.

 

 

Полностью согласен, и по поводу VHDL тоже. А еще Machxo2 имеет встроенную конфигурационную флешь, встроенные генераторы частоты и pll. Есть версии с LDO, т.е. 1 внешнее питание. А еще бесплатный тулчейн с синплифаем, что, на мой взгдяд, большой плюс, особенно если используешь vhdl - xilinx до сих пор не сделал норм. поддержку 2008-го стандарта.

Изменено пользователем Viktuar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

19 часов назад, Viktuar сказал:

А вы официальный дистрибьютор Xilinx? Если нет, то, действительно, проще выкинуть. Думаю, уже нет дураков покупать виртексы у непойми кого, проще эти $500*N сразу в шредер спустить - хоть время не потеряешь.

Идея была в том, чтобы выпустить устройства с FPGA на продажу.

Насчёт где кто что покупает, фантазировать не нужно. Это вопрос исключительно того как прищемить яййи. Вон Викор поставки перекрыл - и златолицые военные закупы рыщут по помойкам, готовые платить по 2 и без того конских цены.

И поставят в итоге в наши ракеты китайский контрафакт из отбраковки - китайцы их отдают за 1/5, а бюджет купит за 3. Кого потом поставят раком за нерабочие изделия, попробуйте догадайтесь сами. Подсказка - Соломонов.

Это совершенно не одиночный пример - все кто в своё время гнул пальцы и покупаемостью (ширпотребностью) своей ЭКБ не озадачился, сейчас закупаются на помойках и у мутных барыг.

Вы легко можете убедиться в этом сами, если запросите у своего официального дистрибьютора Xilinx) серийную поставку sdr комбайнов, или жирной семерки))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 hours ago, Leka said:

skew? Или что-то другое? ~0.5м витой пары, выдернутой из стандартного кабеля (4 пары).  

Задержка по времени между детестируемым сигналом и выводом в PC. Есть необходимость трансмита данных с минимальной задержкой и выбираю между USB3.0 (есть готовое решение) или Ethernet.

 

10 hours ago, alexunder said:

И не нужно бояться VHDLа.

Правильно, не бойтесь его. Просто игнорируйте. Чисто для осведомлённости знать - неплохо. Но можно те же логические конструкции  реализовать в SV, затратив в десятки раз меньше времени (если говорить про низкоуровневый дизайнинг). А если вам нужны классы и навороченные тестбенчи, тогда только SV с UVM и т.п. Старенький VHDL даже со своим OSVVM сильно не дотягивает.

Не поймите неправильно, я почти 10 лет педалил на VHDL, но переход на SV - как гора с плеч. Не нужно по 20 раз переписывать порты, делать кучу лупов, чтоб объединить все элементы вектора по И, а преобразование типов сигналов - это вообще отдельное харакири.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Nick_K сказал:

Не нужно по 20 раз переписывать порты, делать кучу лупов, чтоб объединить все элементы вектора по И

И вы за десять лет ниасилили прямое инстанцирование компонентов и функции семейства reduce? И потому рассказываете сказки про

1 час назад, Nick_K сказал:

затратив в десятки раз меньше времени

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 minutes ago, andrew_b said:

И вы за десять лет ниасилили прямое инстанцирование компонентов и функции семейства reduce? И потому рассказываете сказки про

 

Прямое инстанционирование я осилил, но проще написать (.*), хотя и есть нюансы. А вот reduce вы видели в какую цепочку синтезируется?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, a123-flex said:

Идея была в том, чтобы выпустить устройства с FPGA на продажу.

Вы легко можете убедиться в этом сами, юноша, если запросите у своего официального дистрибьютора Xilinx) серийную поставку sdr комбайнов, или жирной семерки))

Virtexы для массовых устройств дороговаты. А седьмые ультраскейлы вполне покупаются у нас, как раз с полтысячи ждем; может где-нибудь в Сибири есть с этим проблемы, но опять же из-за цены.

1 hour ago, Nick_K said:

Не поймите неправильно, я почти 10 лет педалил на VHDL, но переход на SV - как гора с плеч. Не нужно по 20 раз переписывать порты, делать кучу лупов, чтоб объединить все элементы вектора по И, а преобразование типов сигналов - это вообще отдельное харакири.

Вы, наверно, с VHDL93 работали. В 2008 все гораздо человечней. Писанины не больше чем в SV.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Значит они ПОКА покупаются. Пока на них не присели. А дальше будет аля викор: "мне нужно 2000 модулей срочно")) Кроме того есть разница - цосные кристаллы или нет. Проблемы были с цосными виртексами ИМХО.

Да и не знаю как там у вас ультраскейлы. Но вот знаю что 6 спартан на -40 с двумя разными спидгрейдами различается по цене и доступности на порядок. 2 есть везде и по 250$, а 3 от 1500$ и в одной конторе.

Сдры объявили но не привезли совсем. Видно удаленного управления там ещё нет))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 minutes ago, Viktuar said:

Вы, наверно, с VHDL93 работали. В 2008 все гораздо человечней. Писанины не больше чем в SV.

Это правда. Но во-первых Xilinx + VHDL-2008 - миф. Во-вторых честно говоря не нашёл полного описания всех преимуществ 2008'го. Если поделитесь быстрой ссылкой - буду признателен. Чисто для общего развития

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

38 minutes ago, Nick_K said:

Это правда. Но во-первых Xilinx + VHDL-2008 - миф. Во-вторых честно говоря не нашёл полного описания всех преимуществ 2008'го. Если поделитесь быстрой ссылкой - буду признателен. Чисто для общего развития

На синтез (в виваде) вполне нормально, хотя иногда не без приколов. Вот симулятор встроенный убого 2008 поддерживает. У Doulos есть страничка, посвященная новым фичам https://www.doulos.com/knowhow/vhdl_designers_guide/vhdl_2008/vhdl_200x_major/

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

45 minutes ago, Nick_K said:

Это правда. Но во-первых Xilinx + VHDL-2008 - миф.

Ну, персонально я именно так и работаю (это, правда, не значит, что VHDL мне нравится -- но Верилог мне нравится, культурно говоря, ещё меньше; реально напрягает в VHDL только XX downto YY). Просто я использую для синтеза менторовский Precision, а не родные Хилинховские инструменты. Sinplify, наверное, тоже держит 2008 -- не проверял. Симуляция -- естественно, ModelSim/QuestaSim.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 часа назад, Nick_K сказал:

проще написать (.*)

Никогда этим не пользовался. Зачем? Ведь в SV есть просто киллер-фича - интерфейсы, позволяющие сотни сигналов один раз качественно описать и потом юзать в эн местах, описывая одной строкой. Не говоря уже о том, что внутри интерфейса можно использовать регулярную логику и генерировать модпорты по параметрам. Всё это прилично поддерживается симуляторами (Model/Questasim) и синтезом (Quartus, Vivado).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, Leka said:

Выяснилось, что 3 питания обязательны. Поостыл, 3 питания слишком жирно для "проектов выходного дня" (Циклону-4 достаточно 2 питания, и нормально работает от 2 пальчиковых батареек, без стабилизаторов).

Я тоже сначало испугался..

Artix нормально от 2 источников пашет:

1.0 int+bram
1.8 на всё остальное

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

57 minutes ago, dxp said:

Никогда этим не пользовался. Зачем? Ведь в SV есть просто киллер-фича - интерфейсы, позволяющие сотни сигналов один раз качественно описать и потом юзать в эн местах, описывая одной строкой. Не говоря уже о том, что внутри интерфейса можно использовать регулярную логику и генерировать модпорты по параметрам. Всё это прилично поддерживается симуляторами (Model/Questasim) и синтезом (Quartus, Vivado).

Извините, я только месяц как начал писать на SV - до всех примудростей очень далеко. И раз затронули вопрос интерфейсов, хочу спросить (ибо нет особо времени проверять всё вручную на примерах): насколько порты, модпорты и регулярная логика, синтезируемы? Я почему-то считал, что это только для тестирования.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...