Перейти к содержанию
    

TimeQuest + NIOS II+ SDRAM как обконстрейнить?

15 minutes ago, en-valb said:

а он от второго в лево

Так  и должен. Он берет фиксирующий фронт и рассчитывает положение данных (или упр. сигналов) которые должны появиться  на шине ДО этого фронта - setup time. А есть еще hold time - это сколько данные должны сохраняться после фронта, чтобы правильно записаться в триггер. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сейчас читаю Clock Setup and Hold Slack Analysis Explained. Не могу понять предложение: "The Data Required Time is defined as the time the data can take to get to the destination register (reg2) just
in time to meet the clock setup time at the destination register,"

Изменено пользователем en-valb

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 hours ago, en-valb said:

попробую подвинуть еще немного.

Пододвинул фазу по clk1 еще немного, получилось +155 deg все стало лучше некуда.

Сделал порт SDRAM_CLK клоком

create_generated_clock -name SDRAM_CLK -source [get_pins {inst|altpll|sd1|pll7|clk[1]}] [get_ports {SDRAM_CLK}]

 

и затактировал все set_input_delay и  set_output_delay от этого клока, т.е.

заменил [get_pins {inst|altpll|sd1|pll7|clk[1]}] на  [get_clocks {SDRAM_CLK}] во всех 

set_input_delay -max -clock [get_clocks {SDRAM_CLK}] [expr $SDRAM_CLK_delay_max + $tAC +...

set_input_delay -min -clock [get_clocks {SDRAM_CLK}] [expr $SDRAM_CLK_delay_min + $tOH + ...

set_output_delay -max -clock [get_clocks {SDRAM_CLK}] [expr $SDRAM_CLK_delay_max + $tSU + ...

set_output_delay -min -clock [get_clocks {SDRAM_CLK}] [expr $SDRAM_CLK_delay_min + $tH + ...

порт SDRAM_CLK теперь стал клоком,

image.thumb.png.115ee5261d1034c90bea4087c4195ed2.png

но снова слаки (во вложении), видимо из-за задержки на пути от clk1 до SDRAM_CLK, скрутил фазу clk1 обратно на 110 deg в итоге на pll по clk1 получился сдвиг фазы +45 deg все стало ок,

но почему SDRAM_CLK все еще в Unconstrined Output Ports?

image.thumb.png.5434b2f2daa28316bcb9992141a5d840.png

Снова слаки.bmp

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 часа назад, en-valb сказал:

Сейчас читаю Clock Setup and Hold Slack Analysis Explained. Не могу понять предложение: "The Data Required Time is defined as the time the data can take to get to the destination register (reg2) just
in time to meet the clock setup time at the destination register,"

 

Ну и что тут непонятного? Дано определение Data Required Time - это время, за которое данные должны (хотя тут написано "могут") долететь до входа регистра- приемника, чтобы для этого регистра выполнялись требования по сетапам и холдам.

Т.е. это то время распространения данных от региста-источника к регистру приемнику, к достижению которого нужно стремиться.

А Data Arrival Time - это время распространения данных, посчитанное STA-анализатором для Вашего проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Выкладываю скомпилированный проект с окончательным sdc. Хотел бы подвести итоги по данной теме. Прошу проверить все ли я учел в sdc, 

19 hours ago, en-valb said:

SDRAM_CLK все еще в Unconstrined Output Port

так и не понял нужно ли с этим, что то делать, или не обращать внимания как и на сигналы интерфейса JTAG.

Благодарю всех откликнувшихся, особенно Yuri124, много чего для меня прояснилось в части временного анализа.

test_sdram.qar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 hours ago, StewartLittle said:

Ну и что тут непонятного? Дано определение Data Required Time - это время, за которое данные должны...

Да как то предложение странно построено, долго переводил да только примерный смысл удалось извлечь, не был уверен, что правильно его понял. Благодарю за помощь! 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для применения нескольких ограничений set_input_delay/set_output_delay к одному сигналу необходимо добавлять ключ  -add_delay. Прикрепляю доработанную версию.

test_sdram.out.sdc

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...