Перейти к содержанию
    

Questasim 10.7c не компилируются библиотеки. Но я смог

Questasim 10.7c

Новшество - не воспринимает в файле modelsim.ini параметр VoptFlow = 0. Не воспринимает в *.bat и *.opt файлах параметр -novopt. При VoptFlow = 0 или наличии -novopt прога вылетает с ошибкой.

Обьяснение от Mentor - эти параметры вредны, бла-бла-бла, короче сами прочитаете сообщение, которое выдаст прога при попытке их использовать.

Пытаюсь скомпилировать библиотеки стандартным батником

%Xilinx%\bin\nt64\compxlib -s questasim -64bit -arch all -lib all -l all -dir %MODEL_TECH%\..\Xilinx\ -p %MODEL_TECH%\ -log compxlib.log -w

Переменные окружения у меня 

MODEL_TECH = путь до \win64, например C:\MentorGraphics\questasim64_10.7c\win64

XILINX = путь до \ISE, например C:\Xilinx\14.7\ISE_DS\ISE

Ожидаю, что скомпилированные библиотеки будут в папке questasim64_10.7c\Xilinx\, как я прописал в батнике. Хрен там! Библиотеки "emty".

Но папка Xilinx появилась с каким-то содержимым и подпапками. Лезу в подпапки этой папки ...\Xilinx\, смотрю файлы *.log. Вижу там

**Error (suppressible): (vlog-12110) All optimizations are disabled because the -novopt option is in effect.

Смотрю в папке Xilinx и в подпапках файлы *.cmd, в каждом файле есть строки типа

C:\MentorGraphics\questasim64_10.7c\win64\/vlog -source -novopt -64 -work unisims_ver -f

Хрен знает откуда там взялись эти -novopt.

Короче - во всех файлах *.cmd из папки и подпапок questasim64_10.7c\Xilinx\ я уничтожил параметр -novopt. Потом запустил батник questasim64_10.7c\Xilinx\.cxl.questasim.nt64.cmd и всё хорошо! Библиотеки скомпилировались!

Дальше я отключил оптимизацию, типа как раньше VoptFlow = 0. В файле modelsim.ini в секции [vopt] я прописал два параметра

[vopt]
Coverage = sbceft
CoverOpt = 1

Наступило счастье.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Можно и проще - создаем новый файл  конфигурации compxlib.cfg для compxlib 

%Xilinx%\bin\nt64\compxlib -cfg

 

Правим compxlib.cfg под свои хотелки ...

# QuestaSim options for VERILOG Libraries
# Syntax:-
# OPTION:<simulator_name>:<language>:<library>:<options>
# <library> :- u (unisim) s (simprim) c (xilinxcorelib)
#              r (coolrunner) i (secureip) e (edk)
# vlog -work <library> <OPTION> <file_name>
#
OPTION:questasim:verilog:u:-source -novopt

...

OPTION:questasim:verilog:e:-source -novopt

...  

И запускаем %Xilinx%\bin\nt64\compxlib -s questasim -cfg ./compxlib.cfg ..... 

Вот это счастье .... :yes3: 

 

Успехов! Rob.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 hours ago, RobFPGA said:

Приветствую!

 

Можно и проще - создаем новый файл  конфигурации compxlib.cfg для compxlib 

%Xilinx%\bin\nt64\compxlib -cfg

 

Правим compxlib.cfg под свои хотелки ...

# QuestaSim options for VERILOG Libraries
# Syntax:-
# OPTION:<simulator_name>:<language>:<library>:<options>
# <library> :- u (unisim) s (simprim) c (xilinxcorelib)
#              r (coolrunner) i (secureip) e (edk)
# vlog -work <library> <OPTION> <file_name>
#
OPTION:questasim:verilog:u:-source -novopt

...

OPTION:questasim:verilog:e:-source -novopt

...  

И запускаем %Xilinx%\bin\nt64\compxlib -s questasim -cfg ./compxlib.cfg ..... 

Вот это счастье .... :yes3: 

 

Успехов! Rob.

 

Спасибо! Значит вот как надо самому использовать свой пользовательский compxlib.cfg и подключать его в батник.

3 hours ago, gibson1980 said:

Какие у него плюшки по сравнению с предыдущими? Как скорость?

Разницы не заметил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

безуспешно пытался установить на Ubuntu 16.04. С 10.4 проблем не помню, поставить 10.4 ???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подниму тему, т.к. вопрос очень близкий, как раз по упразднению ключика -novopt

Угараздило воспользоваться Квестой 10.7с и никак не получается увидеть хоть какие-нибудь сигналы в тестбэнче, и решения пока тоже не нашел.

Компилирую SystemVerilog файлы командой вида:

vlog -O0 +initreg+0 C:/temp/top.v

Далее запускаю симуляцию:

vsim +initreg+0 top

В top.v  генерация тактовой, счетчик от нее, в квесте в Objects ничего, она все оптимизировала и выбросила за ненадобностью...

Манипуляции в modelsim.ini вроде

[vsim]
; VoptFlow = 1 - было
VoptFlow = 0

приводят к тому что при попытке запуска симуляции квеста намекает что я неправильно живу и -novopt уже нельзя

Итак вопрос: какая же теперь философия использования этого симулятора, для случая, когда мне нужно отладить небольшой проект, но желательно иметь возможность заглянуть в любой модуль и сигнал?

Или как отключить оптимизацию в Questasim 10.7+ ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11 minutes ago, des00 said:

+acc и задать области видимости.

Спасибо!

Вот тут тоже нашел ответ https://stackoverflow.com/questions/24179095/modelsim-optimization-issue

вот в таком виде получил что хотел:

vsim -voptargs=+acc=lprn +initreg+0 top

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 1/31/2019 at 9:53 PM, RobFPGA said:

%Xilinx%\bin\nt64\compxlib -cfg

Правим compxlib.cfg под свои хотелки ...

Спасибо, пишу спустя 4.5 года, это работает и сейчас для Modelsim 2020. Проще редактором в одном файле произвести автозамену всех этих novopt на пустую строку или пробел. Но я взял compxlib из ISE 14.6

 

Приперло вместо Vivado xsim для одного проекта задействовать Modelsim + Xilinx. Но в Vivado 2013 я нашел лишь следы compxlib - программы нет. Vivado 2020 - там тоже нет

 

Как быть для Vivado, если надо собрать, оно лишь описывает программу compxlib и ее аргументы, но ни в 2013 ни в 2020 нет ее (что исключает возможность что случайно удалена). Есть лишь описание с аргументами и опциями, фактического бинарника ELF в поставке Vivado почему то нет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...