Перейти к содержанию
    

42 минуты назад, jenya7 сказал:

а как настроить тригер по какому сигналу? вполне логично как сейчас - pre trigger position

Не-не, это не совсем то. Pre-, Center-, Post- показывает какой участок данных будет записываться сигналтапом при срабатывании триггера. Соответственно, будет записываться:

1. Pre: То что происходило ДО срабатывания триггера.

2. Center: То что происходило до и после срабатывания триггера (поровну - т.е. при длине сигналтапа 1024 сэмпла, будет записано 512 сэмплов до и 512 сэмплов после триггера).

3. Post: То что происходило после срабатывания триггера.

Вам же надо настроить условия срабатывания триггера на вкладке Setup, которая рядом с времянкой:

1.PNG.e0e9029e51c39fd81105284a85f40f7b.PNG

42 минуты назад, jenya7 сказал:

перебрал там все опции и пре- и пост- нужных сигналов нет.

1.PNG.960e04a7faf625bca4a4831d08470cce.PNG

Если сигналов по-прежнему нет, то возможно они у вас объявлены как wire - такие и правда не видятся. Можно кинуть на порт или сделать его reg-ом.

Если же это reg и он всё равно не видится, тогда добавьте перед его объявлением вот такую магию - она не даст фиттеру убить регистр, если он никуда не подключен:

(*preserve, noprune*)reg packet_valid;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

29 minutes ago, spectr said:

Не-не, это не совсем то. Pre-, Center-, Post- показывает какой участок данных будет записываться сигналтапом при срабатывании триггера. Соответственно, будет записываться:

1. Pre: То что происходило ДО срабатывания триггера.

2. Center: То что происходило до и после срабатывания триггера (поровну - т.е. при длине сигналтапа 1024 сэмпла, будет записано 512 сэмплов до и 512 сэмплов после триггера).

3. Post: То что происходило после срабатывания триггера.

Вам же надо настроить условия срабатывания триггера на вкладке Setup, которая рядом с времянкой:

 

 

Если сигналов по-прежнему нет, то возможно они у вас объявлены как wire - такие и правда не видятся. Можно кинуть на порт или сделать его reg-ом.

Если же это reg и он всё равно не видится, тогда добавьте перед его объявлением вот такую магию - она не даст фиттеру убить регистр, если он никуда не подключен:


(*preserve, noprune*)reg packet_valid;

Спасибо. Настроил тригер и теперь вижу весь пакет. а директиву (*preserve, noprune*)reg packet_valid; куда вставить? я пишу на ВХДЛ у меня signal вместо wire.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, jenya7 сказал:

перебрал там все опции и пре- и пост- нужных сигналов нет.

а как настроить тригер по какому сигналу? вполне логично как сейчас - pre trigger position

 

Может поможет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 часов назад, jenya7 сказал:

директиву (*preserve, noprune*)reg packet_valid; куда вставить? я пишу на ВХДЛ у меня signal вместо wire.

В vhdl это атрибуты. Читайте доки на синтезатор, они рулез.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, jenya7 said:

перебрал там все опции и пре- и пост- нужных сигналов нет.

Если эти сигналы не используются - синтезатор их отбрасывает, и в списке их не будет. 

Иногда бывает, что сигналы в списке есть, но потом они становятся красным цветом - либо Квартус не может к ним протянуть цепь, либо нужно тот же сигнал брать из другого блока (где он может называться уже по-другому).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 minutes ago, Yuri124 said:

Если эти сигналы не используются - синтезатор их отбрасывает, и в списке их не будет. 

Иногда бывает, что сигналы в списке есть, но потом они становятся красным цветом - либо Квартус не может к ним протянуть цепь, либо нужно тот же сигнал брать из другого блока (где он может называться уже по-другому).

ну вот некоторые я додумался взять из другого блока. а некоторые внутренние так и не нашел, хотя они используются.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Yuri124 said:

Можно попробовать не "Pre-synthesis", а "Design entry - all names"

Спасибо. Вроде как вытащил нужные сигналы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уже скомпилировали? 

Бывает, после компиляции эти сигналы - красные, т.е. что-то пошло не так, Квартус не смог прицепиться, даже если они реально в проекте есть.

У меня бывало, что шина, например, 32-битная, реально есть, а пару битиков из нее - красные, не может показать в СигналТапе.

Я это понимаю так, что уже не получается "растолкать" остальные сигналы на кристалле, чтобы вывести все "заказанное".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

19 минут назад, Yuri124 сказал:

Я это понимаю так, что уже не получается "растолкать" остальные сигналы на кристалле, чтобы вывести все "заказанное".

Можно попробовать вывести на пин в топлевел и сделать его виртуальным.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...