Vengin 0 26 октября, 2018 Опубликовано 26 октября, 2018 · Жалоба Есть простой record с 32-битными данными (float32) и стробом: type FL32_T is record fl32 : std_logic_vector(31 downto 0); -- single precision valid : std_logic; -- valid, strobe, enable, etc... end record; Когда отображаем это в waveform, отдельно сигнал данных fl32 можно отобразить в формате float32, а вот в группе рисуется просто как hex: Может можно как-то отображать значения float32 и в группе сигналов? По идее что-то похожее можно делать используя virtual types, но там получается только один сигнал сделать виртульаным (а не 2 или больше), да и так можно только какой-то заранее известный фискированный поднабор float32 "переиминовать". Может есть какой-то универсальный способ решения такой проблемы? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться