Перейти к содержанию
    

Modelsim: отображение float32 в группе

Есть простой record с 32-битными данными (float32) и стробом:

  type FL32_T is record
    fl32  : std_logic_vector(31 downto 0); -- single precision
    valid : std_logic;  -- valid, strobe, enable, etc...
  end record;

Когда отображаем это в waveform, отдельно сигнал данных fl32 можно отобразить в формате float32, а вот в группе рисуется просто как hex:

image.png.4d4a995fdf427d8401216d52a98a1431.png

Может можно как-то отображать значения float32 и в группе сигналов? По идее что-то похожее можно делать используя virtual types, но там получается только один сигнал сделать виртульаным (а не 2 или больше), да и так можно только какой-то заранее известный фискированный поднабор float32 "переиминовать".

Может есть какой-то универсальный способ решения такой проблемы?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...