Перейти к содержанию
    

Спасибо.

Как оказалось, проблема была именно в правильной лицензии.

Mне прислали её в личку. Подставил свой MAC в HOSTID и все заработало со стандартным лекарством. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите, что за несправедливаость - для Pro уже выпущена версия 19.2, а для Standard только 18.1?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще один вопрос - как заставить QuartusPrimeStandard 18.1 сгенерить файл задержек .sdf (или .sdo) для Cyclone10lp?

Везде пишется, что он генерится автоматически, но его нет.  Т.е. все проходит нормально и ModelSim доволен, но это только функциональная симуляция, все задержки нулевые.

Для реальной симуляции нужно подцепить файл с задержками (.sdf или .sdo) но его нет. Старый квартус его генерил, а в этом его нет.

Кто-нибудь решил этот вопрос?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кажется я сам нашел ответ на этот вопрос. Нужно в Assignments->Settings->EDA tool Settings->Simulation->кнопка "More EDA Netlist Writer Settings" поставить "Generate functional simulation netlist" в "Off". После этого появляется несколько файлов sdo.

Но вот печалька - после этого появляется такая ошибка 

Error (20268): Functional simulation is off but it is the only supported netlist type for this device.

Выходит, что реальная симуляция НЕ поддерживается для Cyclone10lp - только функциональная.

 

Хотя файлы sdo выглядят нормальными.

 

И что делать?
 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ха, это началось не вчера и даже не позавчера.

Цитата

 

Post-synthesis and post-fit gate-level simulations run significantly slower than RTL simulation. Altera recommends that you verify your design using RTL simulation for functionality and use the TimeQuest timing analyzer for timing. Timing simulation is not supported for Arria V, Cyclone V, Stratix V, and newer families.

 

2013 год.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да я последний раз и работал с Cyclone лет 15 назад. А тут понадобились недорогая и своя оптика, без нормальной PLD никак, вот и пришлось разбираться со свежаком. Как все испортилось, кошмар просто.

 

Правда обнаружил одну радостную вещь - оказывается в QuartusPrime18.1 Standard скрытно сохранился старый квартусовский симулятор QSim. И он прекрасно работает сохранив нормальный интерфейс и честно работает через ModelSim. После ModelSim - это просто счастье какое-то. Правда для Cyclone10 он держит только функциональную симуляцию, но, как выяснилось, ModelSim тоже делает только ее. И, кстати, он позволяет мгновенно сгенерировать TestBench со всеми сигналами для ModelSim, что весьма удобно. 

 

А TimeAnalyzer, в отличие от нормального старого анализатора, считает что-то свое, а вовсе не то, что меня интересует. Но с ним я еще не разобрался до конца, может удастся вытащить то, что мне нужно. Еще поковыряюсь с ним.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 7/28/2019 at 11:19 AM, GeorgK said:

Ещё апдейт был до 18.1.1.

Это я к тому что может это с чем связано - типа отменят Quartus Standard или ещё что

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, просветите новичка в Альтере (хотя и не новичка в Хилинхе): для написания программ под ARM в Циклонах-5, как я понял, нужен не только Quartus, но ещё и Intel SoC FPGA Embedded Development Suite, а последнему -- лекарство от жадности (которое непонятно где взять, да и имеется ли оно вообще), если нужно голое железо, а не только Линух?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для работы в bare-metal на альтеровских СнК мне хватило DS-5 (ARM'овский пакет). Есть в закромах, там же рецепты лечения. Среда сама по себе оставила очень хорошее впечатление своей стабильностью и доведённостью до ума. Вроде как Альтера её и предлагала (подозреваю, что упомянутая вами Intel SoC FPGA Embedded Development Suite - это оно и есть, раньше было так, сейчас не слежу). Единственный вопрос - актуальность версий. Два года назад успешно пользовался v5.25, если память не изменяет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, эта интеловская фиговина -- DS-5 по своей сути, они об этом сами пишут.

А как у Вас было с отладкой-трассировкой и прочими такими вещами? Понятно, что можно образ флэшки для загрузки соорудить хоть вручную в хекс-редакторе, но интересует-то нормальная разработка. В интеловском пакете всё предусмотрено -- но в платном (в бесплатном -- только приложения Линуха отлаживать можно), а в том, что в закромах?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Там полный "фарш". Но я (как обычно для себя) использовал это только в качестве отладчика - код пишу в своём любимом редакторе, собираю тоже своими инструментами. В DS-5 только создавал сессию для отладки и загружал туда бинарник (elf). Если собрано с отладочными символами, то всё культурно - исходник и кодогенерация, всё видно. Что очень круто - DS-5 показывает всю периферию Cyclone V SoC, т.е. можно конкретно посмотреть все регистры и их биты. У Xilinx с его убогим SDK таким и близко не пахнет. А там ребята изрядно поработали - для всех поддерживаемых SoC приложили эти конского размера файлики с описанием периферийных регистров. И консоль встроенная хорошо работает - не тормозит. После DS-5 SDK - просто отстой. В общем, рекомендую.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо, попробую разобраться. Что касается написания кода, то я тоже в стороннем пишу (если Си++ -- то в Вижуал Студии; собственно, там для проверки и собирать можно, если подсунуть заглушки вместо платформенно-специфичных вещей), интересует именно что возможность более-менее нормальной отладки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...