Перейти к содержанию
    

А, до меня, кажется, дошло. Спасибо

Изменено пользователем AntLip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот, у меня получилось.

 

12312312413513462457.png

Данные преодолели vdma, только теперь надо разобраться как они правильно должны лечь в память. Спасибо Большое ilyaprok за помощь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что-то я не пойму в чем ошибся дальше.

1. На выходе vdma я вижу только 256 пикселей

1234123123124124.png

Xil_Out32(XPAR_AXI_VDMA_0_BASEADDR + 0xA4, 640*16);

в настройках vdma я поменял значение HSIZE( прочитал что может необходимо в битах, хотя есть большие сомнения), после этого стало 256, а ранее на выходе было только 16 пикселей.

Как это исправить, где я накосячил, подскажите пожалуйста?

2. Еще есть вопрос о том, возможно ли передать с помощью vdma по WDATA передать не так как у меня получается (дублируется один и тот же пиксель, видно на изображении, 01000100 ), а так, что бы или бит на транзакцию, а лучше два бита на транзакцию?

3. Про память,я так понимаю, вести речь пока не стоит, ведь там тоже твориться неладное.

 

Значение HSIZE умножил на 40, тогда получилось что передает все 640 пикселов

Изменено пользователем AntLip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А с памятью все ровно творится что-то неладное, запись в память при помощи vdma. Почему-то записывает в десять ячеек памяти вместо одной

45123412351512.png

Может знает кто как это исправить?

Изменено пользователем AntLip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может кто-нибудь может подсказать как правильно выбрать частоту работы дизайна если известна частота работы камеры?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...