Перейти к содержанию
    

67 I/O тоже много, 4 пары LVDS, 8 -bit того 16 I/O + 10 на jtag, + питание; посмотрел по корпусам QFN32 есть минимальный, ...
Микросхемы в QFN32 может по логической емкости не хватить - там всего ~256 LUT.

В QFN48 - ~640 LUT.

 

Что то не нашел описания I/O LVDS какие стандарты или параметры этих LVDS он может использовать с какими макс частотами.
MachXO2 sysIO User Guide

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Выпущена новая САПР: Lattice Radiant - http://www.latticesemi.com/Products/Design...AandLDS/Radiant

Доступна под винды и под линукс.

Пока она поддерживает только семейство iCE40 UltraPlus. В дальнейшем будет добавлена поддержка новых 28-nm семейств Lattice.

Лицензия (по крайней мере пока) предоставляется бесплатно.

 

Скачиваем, инсталлируем, изучаем!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Выпущена новая САПР: Lattice Radiant

Первые впечатления - интерфейс практически полностью повторяет даймондовский (что радует), только морда, похоже, переписана на Qt.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Первые впечатления - интерфейс практически полностью повторяет даймондовский (что радует), только морда, похоже, переписана на Qt.

 

досадно что не дает выкачать ни Lattice Diamond ни Lattice Radiant . политика видимо - в арабские эмираты не поставляют. Жаль , хотелось бы поупражняться.

А то, может где-то на торрентах залито, сориентируйте пожалуйста

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Radiant с сайта magnet:?xt=urn:btih:HGKL3ABBFNR5TOIKS5K2WKW5ZJACZ2IB чисто радиант ничего противозаконного

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для Lattice Diamond v3.10 вышел Service Pack 2 (v3.10.2.115).

 

Я инсталлировал этот сервис пак из-под самого даймонда.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую Всех!

 

Поскажите, есть ли какой-то способ в Diamond назначить generic (VHDL) в топовом модуле с помощью командной строки или TCL ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для таких случаев использую отдельный *_pkg.vhd файл, в котором указываю необходимые константы. Tcl-скрипт парсит этот файл, подставляет нужные значения, и, к примеру, запускает компилляцию. В проекте в качестве generic-значения присваиваю эту константу.

Можно так же и в top-файле это делать, но на мой взгляд настраиваемые переменные удобнее держать в одном файле.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для таких случаев использую отдельный *_pkg.vhd файл, в котором указываю необходимые константы. Tcl-скрипт парсит этот файл, подставляет нужные значения, и, к примеру, запускает компилляцию. В проекте в качестве generic-значения присваиваю эту константу.

Можно так же и в top-файле это делать, но на мой взгляд настраиваемые переменные удобнее держать в одном файле.

Приветствую!

 

Судя по документации в Квартусе и Вивадо есть варианты для TCL, а Diamond только чрез ГУИ:

https://www.doulos.com/knowhow/fpga/Setting..._for_Synthesis/

 

Я так понимаю сначала парсит, а потом исправляет определенные константы на нужные для текущего синтеза значения?

Спасибо, это один из методов решения.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по документации в Квартусе и Вивадо есть варианты для TCL, а Diamond только чрез ГУИ:

https://www.doulos.com/knowhow/fpga/Setting..._for_Synthesis/

А Вы какой синтезатор в Даймонде используете - LSE или Synplify Pro?

Для Synplify Pro в приведенной Вами ссылке дается и вариант с tcl:

set_option -hdl_param -set nbits 4

set_option -hdl_param -set maxcount 9

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А Вы какой синтезатор в Даймонде используете - LSE или Synplify Pro?

Для Synplify Pro в приведенной Вами ссылке дается и вариант с tcl:

Cпасибо, прохлопал :) Некоторые проекты с LSE другие Synplify . Наверное нужно выбрать все же Synplify

Изменено пользователем alxkon

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я так понимаю сначала парсит, а потом исправляет определенные константы на нужные для текущего синтеза значения?

Да, именно так. С помощью этого скрипта так же автоматически увеличивается номер версии и дата компилляции. Удобно при чтении регистров узнавать какая именно версия загружена в ПЛИС.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, что-то не могу найти описание формата констрейнтов для Radiant, в справке вроде ссылки есть, но они никуда не ведут. Может кто даст наводку?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, что-то не могу найти описание формата констрейнтов для Radiant, в справке вроде ссылки есть, но они никуда не ведут. Может кто даст наводку?

В Radiant используется обычный sdc, в весьма сокращенном варианте :)

 

Смотрите Lattice Radiant Software Guide for Lattice Diamond Users , парграф "Lattice Diamond Timing Preferences Compared with Radiant Software Timing Constraints", стр.18 - 20.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...