Перейти к содержанию
    

Только что, Tamino сказал:

Все верно. Уже прописал через переменную. Нюанс в том, что ее не было до этого, а Радиант был установлен. Как я тогда при установке указывал файл лицензии, я не могу вспомнить, но переменные я тогда никакие не прописывал.
 

А по умолчанию лиц.файл в какой-то определенной радиантовской папке ищется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 06.06.2019 в 00:38, StewartLittle сказал:

Хм-м... Возможно, мои сведения устарели. :pardon:

Сейчас выясним текущее состояние дел у Lattice.

Таки да!

Смысл ответа от Lattice:

Новый SensAI 2.0 использует более продвинутые ядра (включая Compact CNN вместо BNN для UP).
Бесплатных вариантов IP-ядер ускорителей теперь, к сожалению, нет.
Возможен лишь бесплатный оценочный режим (с таймером на 4 часа).

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Добрый день!

Прошу подсказать, как бы мне просимулировать проект Lattice Versa ECP3, а может и другой ПЛИС попроще? Вот у альтеры/ынтел есть Modelsim Starter Edition, с появлением Vivado есть шикарный xsim.

Я по сусекам поскреб, активашдл ривиеру добыл, а как дальше то поддержку Lattice намазывать?

Меня устроит даже демонстрационная урезанная версия, ограниченная по времени. Мне лишь бы небольшие пробные проекты просимулить и скриншотов наделать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 часов назад, AVR сказал:

шикарный xsim

Чем же он такой шикарный? Тормоз и убожество, имхо, по сравнению с той же квестой. 

 

Что вам мешает взять тот же моделсим и симулять в нём? Симулятор как таковой не привязан к синтезу и целевым ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, dxp said:

Что вам мешает взять тот же моделсим и симулять в нём? Симулятор как таковой не привязан к синтезу и целевым ПЛИС.

Допустим беру, допустим не привязан. На сайте Lattice есть нечто "ISP Lever", которое платное или требует регистрации платного аккаунта, вроде так, не бесплатно.

Есть конкретная инструкция? Пока что для меня процесс добавления Lattice+Modelsim как в тумане.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы какого рода моделирование хотите выполнить? Если функциональное без специфичных для Lattice примитивово, то достаточно ModelSim/QuestaSim. Если временное и/или с использованием примитивов, то нужно брать трофейный ISP Lever.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 часов назад, AVR сказал:

Прошу подсказать, как бы мне просимулировать проект Lattice Versa ECP3, а может и другой ПЛИС попроще? Вот у альтеры/ынтел есть Modelsim Starter Edition, с появлением Vivado есть шикарный xsim.

В Lattice Diamiond имеется встроенная OEM - версия Aldec ActiveHDL. Самое простое - использовать ее.

Некоторой проблемой может быть то, что для работы с ECP3 нужна платная лицензия на Diamond. Но, во-первых, эта проблема решается русским народным способом. А во-вторых, насколько я помню, на ActiveHDL это ограничение не распространяется.

 

2 часа назад, makc сказал:

... то нужно брать трофейный ISP Lever.

Таки трофейный Diamond.

Поезд ispLEVER'а уже давно уехал (разве что для работы с CPLD сейчас имеет смысл ispLEVER использовать. Кстати - ActiveHDL в ispLEVER также имеется).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 часа назад, AVR сказал:

Пока что для меня процесс добавления Lattice+Modelsim как в тумане.

Тут ничего принципиально отличающегося от той же альтеры-квартуса нет.

Берем из Diamond'а исходники симуляционных библиотек и компилируем их в моделсиме или квесте. В файле modelsim.ini прописываем пути к этим библиотекам. И все.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/21/2019 at 12:07 PM, StewartLittle said:

Тут ничего принципиально отличающегося от той же альтеры-квартуса нет.

Берем из Diamond'а исходники симуляционных библиотек и компилируем их в моделсиме или квесте. В файле modelsim.ini прописываем пути к этим библиотекам. И все.

Мне не срочно, но конкретных названий файлов, конкретных путей, никаких примеров я не нашел. Буду искать. Требуется лишь функциональное моделирование. ModelSim предпочтительнее.

 

Кстати, а можно взять Modelsim Altera Started Edtion и приварить к нему Lattice симуль? Вот это было бы хорошо. Или нужна полная версия?

 

Вижу diamond/3.10_x64/cae_library/simulation/verilog/ecp3

Тут куча всяких модулей, видимо модели встроенных аппаратных блоков, попробую с ними посимулить

Изменено пользователем AVR

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Modelsim Altera Started Edtion имеет ограничения по наложению sdf. Это работает только для альтеровских примитивов.

Т.е. для функционального моделирования достаточно исходников (любых), а для временного подойдут только примитивы altera.

Ну и эта версия в десятки раз медленнее нормальной коммерческой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 часов назад, dvlwork сказал:

Ну и эта версия в десятки раз медленнее нормальной коммерческой.

Ну, не в десятки, а всего-то раза в три (или в пять). :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/26/2019 at 11:21 AM, dvlwork said:

Modelsim Altera Started Edtion имеет ограничения по наложению sdf. Это работает только для альтеровских примитивов.

Т.е. для функционального моделирования достаточно исходников (любых), а для временного подойдут только примитивы altera.

Ну и эта версия в десятки раз медленнее нормальной коммерческой.

а временного моделирования у альтеры теперь и нету (в квартус прайме - нетлисты и сдф-ы не генерятся). говорят, что если констрейны удовлетворены, а иначе нельзя, то юзеру временное моделирование и не нужно, козлы

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, yes сказал:

козлы

А что вы хотите в ПЛИС временнО моделять?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, dxp said:

А что вы хотите в ПЛИС временнО моделять?

1) стандартный флоу

2) посмотреть картину "в целом", а не каждый путь в STA - проще и быстрее

3) ну или же 2.1 как "прув" правильности задания констрейна и демонстрация "третьим лицам" правильной работоспособности. у меня достаточно часто возникает этот вопрос - в альтере приходится отвечать "джентельменам верят на слово", причем в этих транзакциях джентельменом получается не какой-то аноним из альтеры, а лично я (эти лица верят симулятору, но не верят таймквесту)

-------------------------

тем более, что кажется это новшество не связано с какими-то техническими трудностями - нетлист то внутри квартуса есть, выдать его в верилог+сдф формате не должно быть сложно. а просто закидон какого-то черта из альтеро-интеловского менеджмента

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

  1. Непонятный тезис. Зачем гонять синтезированный нетлист на времянки, когда они и так просчитаны и ясны? Моделирование предназначено для выявления ошибок. Функциональное моделирование выявляет логические ошибки - а именно они и превалируют. ВременнОе моделирование пускается уже после функционального, когда логические ошибки выловлены, и нацелено на выявление ошибок из-за несоответствия таймингов. Это актуально, например, когда вы разрабатываете свою топологию - ASIC пилите. А в случае с ПЛИС этот этап за вас уже сделал вендор - размещение элементов, тактовые деревья и их баланс и всё прочее. Вот на этом этапе временнОе моделирование необходимый этап. Но когда у вас уже готовая и отработанная топология, зачем ещё раз гонять здоровенный и мегаподробный нетлист? Логические ошибки на нём искать крайне тяжело. Симулятор под тяжестью сотен тысяч (если не миллионов) примитивов и их связей просто стонет. Какой смысл?
  2. Разве STA не даёт как раз этой картины "в целом"? Как раз даёт все пути причём по всем "углам". А с временнЫм моделированием придётся руками прогонять по всем "углам", но и даже это не гарантирует, что проблемные места будут найдены - критический путь просто может не попасть в проверяемые, что исключено в случае с STA.
  3. А чем STA в смысле проверки констрейнов хуже? STA показывает скрупулёзно просчитанные времянки с учётом всех заданных констрейнов. Что ещё надо? Когда у вас все пути по таймингам сходятся при синхронном дизайне, что ещё нужно? Успешный прогон временнОго моделирования даёт гарантии правильности таймингов ещё меньше - от покрытия зависит. В лучшем случае будет так же.

 

Единственный момент, где я бы видел оправдание применения моделирования с таймингами - это стыковка ПЛИС с "внешним миром" - для, так сказать, "достоверности" моделирования задержек, например, от пина до входного флопа или наоборот от выходного флопа до пина. Но и проще просимулять, указать задержку явно, если очень надо, и остаться в комфортной среде функционального моделирования с отладкой на уровне исходников и скромного размера нетлиста.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...