Перейти к содержанию
    

Неправда Ваша!

!vector - это побитовое инвертирование. Код 001 превратится в 110..

 

Ну, здравствуйте :)

 

Что же тогда делает оператор ~? :)

 

P. S. IEEE Std 1364™-2005, раздел 5.1.9

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В общем, насколько я понял, профессиональные "железячники" использовать булевые операции вида if(vector) и if(!vector) не приемлют.

Есть еще следующий уровень "профессиональных железячников" (которые и под ASIC пишут).

 

Они не используют always @( * ) / always_comb и if в языке Verilog/SystemVerilog.

 

И это не шутка.

 

Объясняют тоже стабильностью и одинаковостью моделирования и реализации в железе и т.п..

 

assign и тернарный оператор (? :) наше всё.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть еще следующий уровень "профессиональных железячников" (которые и под ASIC пишут).

Они не используют always @( * ) / always_comb и if в языке Verilog/SystemVerilog.

Почему не используют always @( * ) - понятно, и правильно делают. А вот чем им always_comb и if не угодили? Это похоже на расшибание лба при молении сами знаете кого (IMHO). Ну, или на огульное "Ату его, это оператор GOTO" Ж:-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...