Перейти к содержанию
    

Рассинхронизация двух блоков

Здравствуйте!

Не могу разобраться, почему два практически одинаковых блока работают не синхронно относительно общего сигнала (y_counter). Почему то сигнал LCD_DATA “съезжает” на пол такта относительно сигнала y_t.

 

main.v

post-58714-1464219967_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почему то сигнал LCD_DATA “съезжает” на пол такта относительно сигнала y_t.

При моделировании в Quartus вроде как учитываются задержки сигналов. Так что Вы видите вполне нормальную картинку. Попробуйте смоделировать через ModelSim, на уровне RTL. Там все изменения будут происходить только по фронтам тактовых сигналов.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В общем как всегда проблема из-за клока: я пытался уменьшить скорость счета счетчика в два раза, и из-за этого все работало не правильно.

Мне нужно, что бы счетчик считал медленнее тактового сигнала в два раза, но как правильно реализовать, пока не разобрался.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мне нужно, что бы счетчик считал медленнее тактового сигнала в два раза, но как правильно реализовать, пока не разобрался.

Сформировать сигнал чип енайбл и работать по нему, на верилоге в Вашем случае так:

reg ce;
always @(posedge clk or posedge rst)
if (rst) ce<=0;
    else ce<=~ce;

reg [9:0] cnt;

always @(posedge clk or posedge rst)
if (rst) cnt<=0;
    else if (ce) cnt<=cnt+1;
        else;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В качестве cnt_enable также можно использовать самый младший разряд счетчика, т.к. он инвертируется каждый такт. И Ваш счетчик будет считать через раз. В смысле, другого счетчика, не того же самого. Ну, я думаю, смысл Вы поняли.

Изменено пользователем dima32rus

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...