Перейти к содержанию
    

Ускорение имплементации проекта в Vivado

Подскажите, есть ли какие-то ключи или настройки для максимального ускорения синтеза и имплементации проекта в Vivado?

Пусть даже за счёт огромного использования ОЗУ и ресурсов процессоров.

 

Сейчас большой проект на сервере с четырьмя восьмиядерными процессорами Intel Xeon E5-4650L с 768ГБ ОЗУ собирается за 4-8 часов в зависимости от агрессивности настроек имплементации.

 

При этом лишь часть времени заняты 8 ядер на имплементацию и 12-13ГБ ОЗУ максимум. Версия Vivado 2015.2-2015.3.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А по памяти? Ценный ресурс же.

Жаль, что нет таких настроек.

 

P.S. Конечно это всё под Linux.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Имплементация - чисто процессорная задача, роляет только скорость проца и размер его кеша. У Вас три проца просто греют пространство на имплементации. Я бы на вашем месте задумался о смене платформы на i7-5960X

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сменить платформу сейчас нельзя.

 

Ну в целом понятно, что не ускорить мне Vivado.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, синтез разбит на куски. А вот имплементацию разбивать не пробовал. Да и вряд ли это получится, поскольку строгие тайминги и много места занимается в чипе.

Основное время уходит именно на имплементацию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если времянка и размеры впритык - не сильно помогут ядра процессора.

Если позволяет религия, купить на время внешней мощи и протестировать (наприм. у амазона).

Скорее всего надо переходить на другой чип.

А так остается инкрементальная компиляция и частичная конфигурация.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сложновато с ним. Да и на таймингах скажется, а у меня впритык.

C Partial Reconfiguration, а точнее с Hierarchical Design в таймингах только выиграете (с большой вероятностью). Правда, про Project Mode придется забыть. Все на tcl. Зато можно переразводить не весь проект, а только часть. Вот тут точно по времени выиграете.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Задать, как уже заметили:

set_param general.maxThreads 8

 

2. Использование стратегии RunTimeOptimized (если не сильно затянуты констрейны)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо.

По 8 ядер и так каждая задача использует при возможности. А констрейны и тайминги нужны по максимуму.

Изменено пользователем Vascom

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...