Перейти к содержанию
    

Здравствуйте помогите с выбором ПЛИС начинающему.

Здравствуйте помогите с выбором. Я бедный студент, таки поскребя по сусекам решился приобрести себе отладочную плату с ПЛИС. И встал перед выбором покупать относительно дорогую(по моим меркам) плату или все таки взять что попроще и не сильно ударит по моему карману. Пока беру для обучения, но если говорить о теме которая меня интересует - это обработка видио и изображений. Имеет ли смысл брать ПЛИСину с ARM процессором(до этого имел опыт работы в плане ARM'ов с STM'ками и разебрипи)? Если заказывать платы от китайских ноунеймов, какие подводные камни? Вообщем у меня появилось несколько кандидатов, и я буду очень благодарен за помощь с выбором.

Первый кандидат: http://www.terasic.com.tw/cgi-bin/page/arc...mp;No=941(вроде бы SoC что не так уж и плохо, но имеет весьма скудный список внешних интерфейсов)

Дальше идут более или менее похожие

http://www.terasic.com.tw/cgi-bin/page/arc...83&PartNo=1

http://www.terasic.com.tw/cgi-bin/page/arc...64&PartNo=1

http://www.terasic.com.tw/cgi-bin/page/arc...21&PartNo=1

(в целом на мой взгляд они весьма сходы отличия это количество логических элементов на кристалле, и внешними интерфейсами, на какой именно остановить свой выбор мне не понятно. Толи взять подороже, но не будет ли она для меняя перегружена излишествами?)

Ну и последнее это самая дорогая плата которую я могу себе с трудом позволить

http://www.terasic.com.tw/cgi-bin/page/arc...&No=836(тут и куча внешних портов, жирный кристалл, SoC. Но не будет ли это все излишеством?)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Могу сказать, что на работе у меня такая

http://www.terasic.com.tw/cgi-bin/page/arc...=139&No=502

Хорошая: и 2 езернета, и звуковой кодек, и даже видеовход аналоговый.

Если совсем с финансами туго, то:

http://ru.aliexpress.com/premium/waveshare...P=y&catId=0

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Могу сказать, что на работе у меня такая

http://www.terasic.com.tw/cgi-bin/page/arc...=139&No=502

Хорошая: и 2 езернета, и звуковой кодек, и даже видеовход аналоговый.

Если совсем с финансами туго, то:

http://ru.aliexpress.com/premium/waveshare...P=y&catId=0

 

С финансами у меня где то до 10к можно чуть больше но желательно уложиться в эту цифру.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте помогите с выбором. Я бедный студент, таки поскребя по сусекам решился приобрести себе отладочную плату с ПЛИС. И встал перед выбором покупать относительно дорогую(по моим меркам) плату или все таки взять что попроще и не сильно ударит по моему карману. Пока беру для обучения, но если говорить о теме которая меня интересует - это обработка видио и изображений.

Когда имеете дело с микроконтроллером, то там начинаете со стартового набора.

А это ПЛИС, тут все по другому.

На практике проверено, что надо сначала научиться делать RTL проекты, отлаживать их в симуляторе и только после этого что-то покупать...

А иначе потом будете жалеть либо о том, что купили дешевку, либо о том, что зря потратили деньги.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На практике проверено, что надо сначала научиться делать RTL проекты, отлаживать их в симуляторе и только после этого что-то покупать...

 

Еще же средства разработки нужны, JTAG как минимум, а то как вы в ПЛИС что-то зальете. Я себе недавно заказал и получил Spartan 6 на е-бай, платка на вроде

Если совсем с финансами туго, то:
http://ru.aliexpress.com/premium/waveshare...P=y&catId=0

на ней 3 кнопки (1 сброс) и 7 диодиков, а почти все остальные ножки выведены на разъем. Для осознания того что такое ПЛИС по мне очень даже. Не дорого, и можно проектики после симулятора в железе позапускать, диодами помигать, ногами пошевелить.

 

В таком же виде там есть и АРМы, можно их вместе подружить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще же средства разработки нужны, JTAG как минимум
Ну если Терасик брать, то там встроенный программатор.

А вообще полностью согласен с

На практике проверено, что надо сначала научиться делать RTL проекты, отлаживать их в симуляторе и только после этого что-то покупать...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте начать с Марсохода marsohod.org/index.php/prodmarsohod2. Плата довольно простая и недорогая. Позволит пощупать саму FPGA, понять, как это работает в железе. На мой взгляд, для начинающего самое то. Не стоит сразу брать навороченную плату с чипом под сотню килоячеек и кучей периферии. Может быть Вы через месяц поймете, что ПЛИС - это не Ваше, и лучше заниматься, например, контроллерами. Дело в том, что здесь порог вхождения несколько выше, чем в тех же контроллерах. Ну а если затянет, тогда уже будете точно знать, что Вам нужно и для чего. Желаю Вам творческих успехов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте помогите с выбором. Я бедный студент,

Вот еще хочу написать. Неужели у Вас в ВУЗе нет кафедры, куда можно прибежать после лекций и попросить поработать с ПЛИС? Даже если не в этом, так наверняка в другом ВУЗе что-то есть.

Я не думаю, что преподаватели не разрешат пару часов поработать на какой-нибудь лабораторке...

Ведь сначала "куплю стартовый набор", потом "осцилл"?

А с микроконтроллерами умеете уже? Или в перечне инструментария к ПЛИС только кнопки и светодиоды?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2TS: Те платы, которые Вы смотрели ориентированы на софт-процессор, (Nios или подобный). Если твердо решили заняться SOC, советую обратить внимание на Cyclone V SE - который содержит "аппаратный" ARM. Я думаю, именно за этими FPGA-комбайнами будущее. Я себе заказал на днях такую плату

http://www.terasic.com.tw/cgi-bin/page/arc...=167&No=836

Если у Вас есть студенческий билет, можно купить ее по академической цене ($175)

PS: Добро пожаловать в наш клуб :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот еще хочу написать. Неужели у Вас в ВУЗе нет кафедры, куда можно прибежать после лекций и попросить поработать с ПЛИС? Даже если не в этом, так наверняка в другом ВУЗе что-то есть.

Я не думаю, что преподаватели не разрешат пару часов поработать на какой-нибудь лабораторке...

Ведь сначала "куплю стартовый набор", потом "осцилл"?

А с микроконтроллерами умеете уже? Или в перечне инструментария к ПЛИС только кнопки и светодиоды?

Город небольшой поэтому технический ВУЗ один, на кафедре вылялись только MAX II, конечно кое что но как то быстро исчерпывает себя. В основном лабороторки по ПЛИС делаются в симуляторах(как в прочем и по МК). С МК все в порядке, но недавно как то вышло что заинтересовался ЦОС ну и решил взять что то более адекватное. Вот и стою перед выбором взять чисто ПЛИС но чуть подешевле, либо подороже но с ARM ядром(что в принципе более адекватно тк если не заладится с ПЛИСами то можно будет развиваться в сторону МК имея в распоряжение более крутую плату). Да и не задавался бы таким вопросом, если бы не падение рубля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Город небольшой поэтому технический ВУЗ один, на кафедре вылялись только MAX II, конечно кое что но как то быстро исчерпывает себя.

Ну и чем Вам MAX II не нравятся? Научитесь хотя бы "Часы на ПЛИС" делать... Для этого они вполне подойдут... А потом уже видно будет. Да и преподаватель хоть чем-то но поможет. А так Вы хотите купить дорогую плату и без осцилла на ней что-то "все и сразу"? Так не бывает...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну и чем Вам MAX II не нравятся? Научитесь хотя бы "Часы на ПЛИС" делать... Для этого они вполне подойдут... А потом уже видно будет. Да и преподаватель хоть чем-то но поможет. А так Вы хотите купить дорогую плату и без осцилла на ней что-то "все и сразу"? Так не бывает...

так часы то сделал, да и много что хотя бы с того же Марсахода. Осцил есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

так часы то сделал, да и много что хотя бы с того же Марсахода. Осцил есть.

тогда ответьте на следующий вопрос.

обычно все дешевые стартовые наборы не имеют связи с PC или в них надо "вставлять" софт-процессор. И это дает довольно медленную связь.

а Вы хотите делать обработку в реальном времени? так как Вы будете присылать данные в ПЛИС и снимать обработанные данные... Не на светодиоды же...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

тогда ответьте на следующий вопрос.

обычно все дешевые стартовые наборы не имеют связи с PC или в них надо "вставлять" софт-процессор. И это дает довольно медленную связь.

а Вы хотите делать обработку в реальном времени? так как Вы будете присылать данные в ПЛИС и снимать обработанные данные... Не на светодиоды же...

ну в качестве решения совсем из г-на и палок для связи всё-таки подойдёт jtag-uart через usbblaster (если его даже нет на плате, китайские клоны от 5$), и который можно и без nios'a прикрутить.

да будет небыстро, ~1МБита. но если надо именно гнать гигабиты в ПК, можно обойтись дополнительной небольшой платкой с USB-FIFO переходником.

при этом если не брать совсем китайские платы без ничего, то в пределах 100$ тот же DE0 или BeMicro будут с езернетом.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

так часы то сделал, да и много что хотя бы с того же Марсахода. Осцил есть.

С армом берите, это перспективно, Проц+ПЛИС лучшая связка, а тут еще и ядро с шиной выведенной в ПЛИС, да и кристаллы обычно могучие, много напихать можно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...