Перейти к содержанию
    

Modelsim Delta Time

На входе: Modelsim ALTERA 10.1e, functional simulation

VHDL: avalon_clk_i <= CLK_120MHz;

На выходе ожидаются одинаковые сигналы. На самом деле в Expanded Time вижу

delta delay. Ну и результаты симуляции ломаются.

Как бороться?

 

post-557-1436259524_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На входе: Modelsim ALTERA 10.1e, functional simulation

VHDL: avalon_clk_i <= CLK_120MHz;

На выходе ожидаются одинаковые сигналы. На самом деле в Expanded Time вижу

delta delay. Ну и результаты симуляции ломаются.

Как бороться?

Да, клоки нельзя так присваивать. Можно попробовать ALIAS, но не знаю, что получится.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

avalon_clk_i <= CLK_120MHz;

А зачем вы вообще присвоение клоков делаете? Передавайте их через порты и они всегда в clock nets будут. А их уже напрямую к pll подключать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

avalon_clk_i <= CLK_120MHz;

А зачем вы вообще присвоение клоков делаете? Передавайте их через порты и они всегда в clock nets будут. А их уже напрямую к pll подключать.

 

Это я UniPHY пробовал в разных вариантах: два кора с PLL Sharing, два независимых кора,

все синхронно к Avalon, каждый порт mp_* со своим clock...

 

Насчет присвоения клоков, разве это не просто переименование, тот же alias ?

Синтезатор выкинет лишнее имя а тут вдруг задержка.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это я UniPHY пробовал в разных вариантах: два кора с PLL Sharing, два независимых кора,

все синхронно к Avalon, каждый порт mp_* со своим clock...

 

Насчет присвоения клоков, разве это не просто переименование, тот же alias ?

Синтезатор выкинет лишнее имя а тут вдруг задержка.

Синтезатор выкинет, а вот симулятор не будет. Симулятор обязан сделать задержку в одну дельту.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Синтезатор выкинет, а вот симулятор не будет. Симулятор обязан сделать задержку в одну дельту.

+1. Стандартная VHDL gotcha.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...