Перейти к содержанию
    

Квадратурный генератор на ПЛИС

Здравствуйте!

 

Дали задачу: сформировать квадратурный генератор (с построением таблицы косинусов и синусов) на языке vhdl и передать сигнал на 2 ЦАПа (12-битовых), используя отладочную плату Spartan 3AN Starter Kit. Основная проблема в формировании таблицы с отсчётами и, собственно, с сопряжением ПЛИС и ЦАПом. Буду рада любом помощи и подсказке.

Я новичок, только начинаю работать в области программирования ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

копайте гугл по теме "dds fpga"

первое что выдал гугл:

тут на verilog реализация dds

http://www.fpga4fun.com/DDS.html

с vhdl увы не знаком, да и знакомиться не охота, после verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну или готовую корку взять, если не обязательно на vhdl - LogiCORE IP DDS Compiler. А по-поводу сопряжения - откройте документацию на интерфейс и в соответствии с времянкой сформируйте Ваши сигналы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

 

Дали задачу: сформировать квадратурный генератор (с построением таблицы косинусов и синусов) на языке vhdl и передать сигнал на 2 ЦАПа (12-битовых), используя отладочную плату Spartan 3AN Starter Kit. Основная проблема в формировании таблицы с отсчётами и, собственно, с сопряжением ПЛИС и ЦАПом. Буду рада любом помощи и подсказке.

Я новичок, только начинаю работать в области программирования ПЛИС.

Расскажу для примера как генерировать синус.

 

Здесь используется половина периода, можно использовать только четверть периода.

Над более экономным способе использования блочной памяти предлагаю подумать.

Во вложении vhdl файлы с тестбенчем.

Для формирования используйте например матлаб - работаем в командной строке (значение 0.1 - шаг дискритизации)

 x=0:0.1:2*pi  
y=sin(x)

полученные значения синуса на 2^12=4096 и округляем до целого значения - в матлабе

s=y*4096

огругление уж сами как нибудь.

cordic.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие у генератора сдаточные параметры?

 

Дали задачу: сформировать квадратурный генератор

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если набор частот фиксированный, то можно в Matlabовском Simulinke накидать модельку - генератор+анализатор спектра, Экспортировать данные, затем в reapeating sequence загружаем разный набор данных -подключаем к анализатору спектра, если уровень шумов нас устраивает, то сохраняем данные.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие у генератора сдаточные параметры?

По заданию генератор должен выдавать комплексное аналоговое гармоническое колебание с заданной частотой в диапазоне от -100 кГц до +100 кГц. ТЗ пока точно не дано...

 

Большое спасибо за подсказки) Буду разбираться

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У Xilinx есть несколько вариантов, как такое сделать:

- CORDIC

- DDS Compiler

- Ручками

 

Ну это те,что сразу на им приходят )) На сайте Xilinx есть куча документации,как ими пользоваться

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что, библиотеку math_real вообще никто не использует? В ней же есть синус и косинус.

ее функции разве для синтеза? нет...

использовать ее можно разве что для построения поведенческой модели и для тестирования (в тестбенче) возможно... хотя потом для синтеза все равно нужно будет думать как перейти к fixed point вычислениям

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ее функции разве для синтеза? нет...

использовать ее можно разве что для построения поведенческой модели и для тестирования (в тестбенче) возможно... хотя потом для синтеза все равно нужно будет думать как перейти к fixed point вычислениям

Ее функции можно использовать для заполнения памяти, которую уже можно синтезировать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ее функции можно использовать для заполнения памяти, которую уже можно синтезировать.

согласен...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...