Перейти к содержанию
    

FPGA ADVANTAGE + ISE + Synplify

Здравствуте!

Есть FPGA ADVANTAGE и XILINX ISE 10.1. Генерю coregen например FIFO. Coregen генерит верхний уровень на Verilog. Я его вставляю в проект в схемотехническом редакторе в FPGA ADVANTAGE. С моделированием нет проблем. Так же Coregen генерит нетлисты в форматах edif или NGC. Ментор вроде как о них знает, но нетлисты не могу прикрутить в проект для дальнейшего синтеза в Synplify (через ADD GATE LEVEL), так как он их не понимает. А понимает только нетлисты в форматах V или VHDL. Что я не так делаю? Что кто посоветует, если можно, то по шагам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подобный вопрос уже возникал.

Выхлоп Корегена синтезировать не нужно. Эти нетлисты используются в ise после синтеза. В коде они описываются как blackbox'ы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если загляните в верилоговскую обертку, которую сгенерил coregen, найдете след

// synthesis translate_off

инстанс библиотечного модуля

// synthesis translate_on

 

Директивы указывают игнорировать код и вставлять blackbox,

т.е в проект для synplify достаточно добавить обертку.

Как написал andrew_b, уже на этапе имплементации в ISE понадобятся нетлисты.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...