Перейти к содержанию
    

вечер добрый! господа, поделитесь пожалста информацией: сколько по времени занимает post PAR симуляция в ISIM Xilinx? дело вот в чем, решил для развития прогнать на симуляторе свой старый рабочий проект, проект среднего уровня, иерархический, раньше на Спартане 3 работал.(сейчас спартан 6).

сначала пробовал behavioral, вроде все быстро, но PAR - это невыносимо долго, при том что время стоит всего микросекунда, он симулирует минут 30... может такое быть?? ISE стоит 12.4, комп- Сore 5, 4 ГБ оперативки

просто раньше сидел на Альтере, постоянно симулировал в режиме временного моделирования подобные проекты в Квартусе, все происходило моментально...

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да. PAR симуляция длится значительно дольше.

блин.. так же не должно быть.. я соврал насчет полчаса. 1000 ns симулировалось значительно дольше. примерно часа полтора. в квартусе всего пару минут! а то и того меньше. и проект сравнимый по занимаемым ресурсам

 

сколько же времени уйдет на разработку, причем это самый простой кристалл, что же будет на Виртексе..

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

блин.. так же не должно быть.. я соврал насчет полчаса. 1000 ns симулировалось значительно дольше. примерно часа полтора. в квартусе всего пару минут! а то и того меньше. и проект сравнимый по занимаемым ресурсам

 

сколько же времени уйдет на разработку, причем это самый простой кристалл, что же будет на Виртексе..

 

Это случайно не результат искуственного замедления бесплатного симулятора по достижению

проектом пороговой величины?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

блин.. так же не должно быть.. я соврал насчет полчаса. 1000 ns симулировалось значительно дольше. примерно часа полтора. в квартусе всего пару минут! а то и того меньше. и проект сравнимый по занимаемым ресурсам

 

сколько же времени уйдет на разработку, причем это самый простой кристалл, что же будет на Виртексе..

Во первых, post-PAR имеет смысл использовать только при возникновении проблем с реальным кристаллом. При корректно заданном и сходящемся тайминге, достаточно behavioral модели. В крайнем случае, post-synth на этапе финальной симуляции (перед заливкой в кристалл).

Во вторых, для post-PAR нужно использовать нормальный симулятор, а не затычку от Xilinx-а. Кстати, всеми любимый ModelSim тоже лишь условно относится к "нормальным симуляторам", если речь идёт про post-PAR больших проектов.

P.S. Ну да, разработка больших FPGA-проектов - это долго и дорого. А что Вы хотели? :)

 

Это случайно не результат искуственного замедления бесплатного симулятора по достижению

проектом пороговой величины?

Да не, 60-кратная разница вполне возможна, особенно в недосимуляторе Xilinx-а.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да не, 60-кратная разница вполне возможна, особенно в недосимуляторе Xilinx-а.

а какой симулятор тогда использовать? Aldec?

 

странно, неужели в Альтере сидят лучшие программеры, или Ксилинкс не хочет создать конкурентоспособный симулятор, при том что поддержку Модельсима он прекратил?

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

.... и Ксилинкс не хочет создать конкурентоспособный симулятор, при том что поддержку Модельсима он прекратил?

А при чем тут "поддержка Модельсима"? МоделСим как был менторовским так и остается. Известно как срастить ISE и Моделсим. А если в проекте нет ничего, сгенеренного визардами ИСЕ, то и вообще проблем нет. Просто укажите Моделсиму пути к унисим и симприм папкам...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А при чем тут "поддержка Модельсима"? МоделСим как был менторовским так и остается. Известно как срастить ISE и Моделсим. А если в проекте нет ничего, сгенеренного визардами ИСЕ, то и вообще проблем нет. Просто укажите Моделсиму пути к унисим и симприм папкам...

А для чего раньше в старых версиях ИСЕ присутствовал Modelsim XE? хотя тут уже писали, что и модельсим не выход из положения..

 

А кстати, никто не знает, как с этим делом в Вивадо обстоят дела? PAR симуляция так же висит? Интересно, они ведь его позиционируют как мегапродвинутый мощный продукт...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А для чего раньше в старых версиях ИСЕ присутствовал Modelsim XE? хотя тут уже писали, что и модельсим не выход из положения..

А присутствовал, чтобы клиент не бегал и не искал, кому заплатить за лицензию. Купил всю кучу сразу и работай, а Ксайлинкс сам с Ментором расплачивался... Ну, понимаю, трудно это сообразить если весь софт "вылеченный"... :)

 

А Ваш вопрос сам по себе "не тот"... Если проект большой, то он "и компелится и симулится" не на рабочей машине, а на сервере. А там уж все равно, 5 часов это будет или 10. Все равно запустил на ночь и гуляй...

А вот как Вам написали: "При корректно заданном и сходящемся тайминге, достаточно behavioral модели. " И это занимает 70-80% времени разработки, а "для post-PAR" не так уж и важно, сколько времени это займет. Потому как нужен не часто. Или совсем не нужен... Вы что, все "зубчики" на диаграмме вручную отслеживаете?

Для чего Вам это нужно?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А присутствовал, чтобы клиент не бегал и не искал, кому заплатить за лицензию. Купил всю кучу сразу и работай, а Ксайлинкс сам с Ментором расплачивался... Ну, понимаю, трудно это сообразить если весь софт "вылеченный"... :)

 

А Ваш вопрос сам по себе "не тот"... Если проект большой, то он "и компелится и симулится" не на рабочей машине, а на сервере. А там уж все равно, 5 часов это будет или 10. Все равно запустил на ночь и гуляй...

А вот как Вам написали: "При корректно заданном и сходящемся тайминге, достаточно behavioral модели. " И это занимает 70-80% времени разработки, а "для post-PAR" не так уж и важно, сколько времени это займет. Потому как нужен не часто. Или совсем не нужен... Вы что, все "зубчики" на диаграмме вручную отслеживаете?

Для чего Вам это нужно?

ну не настолько Большой же проект.. и рабочего компа вполне достаточно. повторюсь, в Квартусе не было никаких проблем с подобным проектом, 3 минуты максимум (временнАя симуляция).

А для чего нужно.. просто в проекте на довольно высоких частотах и с весьма слабеньким кристаллом типа Спартана 3(или при нескольких тактовых частотах в проекте) иногда проявляются глюки, и при реальной работе с устройством их бывает трудно распознать. когда работал с Альтерой, временнАя симуляция иногда очень даже спасала. Не все зубчики, а некоторые иногда хотелось бы поглядеть..

Всякие логические гонки на поведенческом моделизме не увидишь..

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всякие логические гонки на поведенческом моделизме не увидишь..

 

Какие гонки нельзя увидеть в поведенческом моделизме при синхронной схеме и репорте таймквеста, что времянки уложены? Или вы про какие-то асинхронные гонки?

Можете рассказать более подробно, когда вам пришлось прибегнуть к такой симуляции?

Спасибо)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А для чего нужно.. просто в проекте на довольно высоких частотах и с весьма слабеньким кристаллом типа Спартана 3(или при нескольких тактовых частотах в проекте) иногда проявляются глюки, и при реальной работе с устройством их бывает трудно распознать. когда работал с Альтерой, временнАя симуляция иногда очень даже спасала. Не все зубчики, а некоторые иногда хотелось бы поглядеть..

Всякие логические гонки на поведенческом моделизме не увидишь..

Значит плохо сделано CDC...

И неверное представление о правильном описании проекта.

А как "на глаз" можно проверить несколько тысяч цепей? Фантастика!!!

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как "на глаз" можно проверить несколько тысяч цепей? Фантастика!!!

Да Бог с Вами, какие тысячи.. я же не говорю о том чтобы выводить в симулятор все нитки, из которых сотканы конфигурируемые логические блоки.

по крайней мере поглядеть те сигналы (они же триггеры), имена которых присутствуют в HDL описании, собственно синхронно ли ведут они себя по отношению к тактирующему их клоку

 

Какие гонки нельзя увидеть в поведенческом моделизме при синхронной схеме и репорте таймквеста, что времянки уложены? Или вы про какие-то асинхронные гонки?

Можете рассказать более подробно, когда вам пришлось прибегнуть к такой симуляции?

Спасибо)

Гонки могут происходить и на полностью синхронной схеме, на больших частотах может не соблюстись Tsu, либо что, каждый сигнал обкладывать констрейнами чтоль?

вот вполне конкретный и лаконичный пример, на частоте до 150 мгц все работало нормально, после 150 вылезали глюки и железка начинала сбоить, а что покажет поведенческое моделирование? что все хорошо.. Это было на Циклоне 3. На Спартане 3 было уже другое- частота была маленькая, но присутствовало несколько тактовых частот внутри проекта, да, согласен, тут видимо уже просто надо констрейны правильно задавать.. Тайминг аналайзер не приучен юзать, поскольку и констрейнами почти не пользуюсь (может и зря).

Хотя, в основном всегда строю синхронные проекты с одной тактовой частотой. Но иногда приходится дорабатывать и чужие..

В общем, таймквест таймквестом, а на сигнальчики реально поглядеть бывает интересно и полезно

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да Бог с Вами, какие тысячи.. я же не говорю о том чтобы выводить в симулятор все нитки, из которых сотканы конфигурируемые логические блоки.

по крайней мере поглядеть те сигналы (они же триггеры), имена которых присутствуют в HDL описании, собственно синхронно ли ведут они себя по отношению к тактирующему их клоку

Абсолютно бессмысленное занятие.

 

Гонки могут происходить и на полностью синхронной схеме, на больших частотах может не соблюстись Tsu, либо что, каждый сигнал обкладывать констрейнами чтоль?

Да. Для этого придуманы группы.

 

вот вполне конкретный и лаконичный пример, на частоте до 150 мгц все работало нормально, после 150 вылезали глюки и железка начинала сбоить, а что покажет поведенческое моделирование? что все хорошо.. Это было на Циклоне 3. На Спартане 3 было уже другое- частота была маленькая, но присутствовало несколько тактовых частот внутри проекта, да, согласен, тут видимо уже просто надо констрейны правильно задавать.. Тайминг аналайзер не приучен юзать, поскольку и констрейнами почти не пользуюсь (может и зря).

Хотя, в основном всегда строю синхронные проекты с одной тактовой частотой. Но иногда приходится дорабатывать и чужие..

В общем, таймквест таймквестом, а на сигнальчики реально поглядеть бывает интересно и полезно

Эти примеры только подтверждают, что не нужно делать работу через нетрадиционное место, извините за прямоту.

 

В переводе на простой язык Ваш начальный пост звучит так:

я не приучен пользоваться молотком и поэтому забиваю гвозди микроскопом. Но почему то новый микроскоп быстро разламывается в процессе. Не пойму в чём дело, предыдущий микроскоп забивал гвозди очень хорошо. У кого на сколько гвоздей хватает одного микроскопа?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да, вы меня все больше убеждаете. очень ценю мнения опытных людей.

из "живых" коллег, с кем можно пообщаться, все работают по такой же схеме что и я

но все-таки, не зря же придумали временную симуляцию :laughing:

По крайней мере, в Квартусе ею можно реально пользоваться.. хотелось бы все-же выяснить, на что путевое можно променять встроенный симулятор ИСЕ...кроме Модельсима

Изменено пользователем shide_3

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...