Перейти к содержанию
    

Бесплатная программа, генерирующая плату с разводкой из модели на языке VHDL

WebPack Vivado - там смотреть в сторону RTL-просмотрщика.

Можно подробнее?

 

Весь смысл VHDL в том что описание короче картинок (ну мне так кажется)

Вы попробуйте нормальную схему картинками собрать, у меня 5000 Лутов занимает схема в несколько страниц текста с комментариями, и что вы скажете что картинка в этом варианте понятнее? На картинке там сплошные макароны...

Для больших схем не наглядно, но для не больших схем картинка удобнее.

 

И у VHDL есть преимущество:

можно перенести модель на другую элементную базу.

 

===

Неужели никто никогда не писал модели на VHDL?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хм... я может чего то не понимаю

но здесь кроме почти половины что пишет на veriloge, и малой части что пишет на SV и прочих, все пишут как раз на VHDL...

 

 

Можно подробнее?

у ксалинкса есть бесплатная версия продуктов, WEB,

после синтеза схемы из VHDL или Verilog можно поглядеть как оно выглядит в триггерах, лутах и прочее... там как раз рисуется схема из элементов и соединения

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно подробнее?

По исходному тексту - получается графическое изображение "схемы"

 

хм... я может чего то не понимаю

но здесь кроме почти половины что пишет на veriloge, и малой части что пишет на SV и прочих, все пишут как раз на VHDL...

А что есть другие варианты языков акромя verilog/VHDL/SV применительно xilinx vivado?

ps: знатная тема. хороший оффтоп. ;)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что есть другие варианты языков акромя verilog/VHDL/SV применительно xilinx vivado?

ps: знатная тема. хороший оффтоп.

а что кроме хilinx vivado других сред нет:)?

 

офтопность темы связана со странными вопросами ТС, он хоть бы сказал зачем ему такое... одно дело курсовая, а может он что стоящее задумал, а применяет не те методы...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что есть другие варианты языков акромя verilog/VHDL/SV применительно xilinx vivado?

 

Я же написал HLS. Пишите на Си, после компиляции получаете на HDL...

Ну или аналогичное у Ментора...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

WebPack Vivado - там смотреть в сторону RTL-просмотрщика.

Аналогично у альтеры есть квартус. Не уверен, есть ли в бесплатной версии RTL viewer, но в платной точно есть.

 

useronforum, зачем Вам это все?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я же написал HLS. Пишите на Си, после компиляции получаете на HDL...

Ну или аналогичное у Ментора...

Сами пробовали?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сами пробовали?

Пока нет. Слишком много у меня было вопросов, на которые лектор не ответил... Только слушал на семинаре год назад. И еще раз послушаю 25-го...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я же написал HLS. Пишите на Си, после компиляции получаете на HDL...

Ну или аналогичное у Ментора...

Склероз - забыл....

PS: пробывал сделать ip-core из C - вроде получилось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

useronforum, попробуй Electric. Он бесплатен (требует установленную java). Синтезирует VHDL, Verilog в транзисторную топологию. К нему и примеры проектов найти можно. Насколько мне известно, его используют в западных институтах для обучения проектированию чипов.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Аналогично у альтеры есть квартус. Не уверен, есть ли в бесплатной версии RTL viewer, но в платной точно есть.

Как узнать, есть ли в бесплатной версии Quartus II RTL viewer (лучше даже в последней v13)?

Может кто-нибудь пользуется им?

 

офтопность темы связана со странными вопросами ТС, он хоть бы сказал зачем ему такое... одно дело курсовая, а может он что стоящее задумал, а применяет не те методы...

useronforum, зачем Вам это все?

Нужно заняться разработкой платы,

выполняющей шифрование цифрового сигнала

с использованием алгоритмов не высокой сложности и криптостойкости

с несколькими индикаторами.

 

Но обязательно на языке VHDL (требование руководства).

 

То есть теоретически можно вручную составить схему на логических вентилях,

но это не нужно, а нужно именно на языке VHDL,

и показать результат также в виде графической схемы готовой платы.

 

Требований по аппаратной реализации пока нет

(то есть можно использовать как прямую разводку, так и FPGA).

 

Вот я и ищу бесплатные программы:

1) одну, которая разведёт плату на транзисторах и т.д. из VHDL-модели,

например, чтобы потом теоретически можно было её изготовить

через сторонний сервис, такой как ExpressPCB.com

(может быть есть и более дешёвые российские сервисы)

2) вторую, которая реализует схему из VHDL-модели в FPGA (ПЛИС),

чтобы реализовать на плате Altera, Xilinx или др.

 

useronforum, попробуй Electric. Он бесплатен (требует установленную java). Синтезирует VHDL, Verilog в транзисторную топологию. К нему и примеры проектов найти можно. Насколько мне известно, его используют в западных институтах для обучения проектированию чипов.

Это программа мне попадалась,

но насколько я понял, она генерирует из схемы VHDL-модель,

а мне нужно наоборот из VHDL-модели сгенерировать схему.

Но может я просто не разобрался.

 

===

В общем я нашёл следующие бесплатные программы, которые связаны с VHDL:

Altera Quartus II Web Edition

Xilinx Vivado Design Suite WebPACK Edition

 

Deeds (Digital Circuit Simulator)

Electric

Qucs (Quite Universal Circuit Simulator)

 

Расскажите, кто знает, что могут генерировать эти программы из VHDL-модели.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я думаю стоит и вам и вашему руководству и богу вашей специальной замкнутой вселенной почитать какие нибудь журнальчики на тему современной электроники. Это просто пипец какой-то, ваши рассуждения и объяснения похожи на познания древних людей об электричестве и их интерпритации явления "молния"...

 

Если бы мне сказали разработать обязательно на VHDL, я бы понял что Verilog использовать нельзя. Но я бы никогда в жизни не подумал, что сейчас кто-то захочет сделать на транзисторах схему шифрования, причем таким извращенным способом как описание ее через VHDL. Да исколько ваша схема на рассыпухе будет занимать?

 

А вы понимаете что современные FPGA делают схемы через LUT, а не через логику И-не ИЛИ-не? И вся визуализация как казе баян? А вы понимаете что никто не будет вам по VHDL паять схему из транзисторов, особенно визуализированой через RTL?... Да вы вообще это когда-нибудь раньше делали?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нужно заняться разработкой платы,

...

Но обязательно на языке VHDL (требование руководства).

и показать результат также в виде графической схемы готовой платы.

...

Требований по аппаратной реализации пока нет

(то есть можно использовать как прямую разводку, так и FPGA).

...

Вот я и ищу бесплатные программы:

...

Расскажите, кто знает, что могут генерировать эти программы из VHDL-модели.

 

Давайте я Вам немного проясню ситуацию.

Значит программы которая из VHDL сделает Вам разводку печатной платы и 3D модель нет в природе. И скорее всего не будет еще очень долго.

Ваш алгоритм Вы можете действительно реализовать двумя способами.

1. На вентилях.

2. На основе ПЛИС.

 

В обоих случаях придется делать печатную плату и разводить ее руками. Пакеты проектирования для печатных плат бесплатные есть. Для первого варианта подойдет любой, для второго варианта на ПЛИС все зависит от сложности шифрования. Если это серьезный алгоритм, то придется Вам использовать коммерческий продукт.

 

Как реализовать все на вентилях.

Устанавливаете Xilinx ISE или ALtera Quartus, оба имеют бесплатную версию, пишете алгоритм на VHDL, синтезируете и смотрите в просмотрщике схему на VHDL.

Фактически эта схема в виде RTL практически напрямую может быть реализована на микросхемах логики серии SN74.

Для уверенности можно алгоритм написать на VHDL, затем получить схему в виде RTL, далее перерисовать весь дизайн в виде схемы руками и сверить работу. Если работать будут одинаково, схемный вариант дизайна состоит из стандартных вентилей, которые напрямую заменяются в реальном дизайне выпускаемыми микросхемами.

Получив схему на вентилях, берете бесплатный софт по разводке печатных плат, и разводите. Дело это непростое, можете нанять спеца тут в разделе Предлагаю Работу.

Или сделать все самостоятельно, как будет готова схема, выкладывайте, подскажем как развести.

 

Вариант 2, на ПЛИС.

Получив дизайн на ПЛИС, у Вас будет два пути. Первый, это купить готовую плату на ПЛИС, ну все эти демокиты или платы разработчика. Либо разводите печатную плату сами. Если платы не разводили, лучше взять готовую покупную плату. Сделать по готовой плате ее 3D модель пару дней для любого механика конструктора.

Если все таки соберетесь разводить, бесплатный софт это не потянет никак. Тут можно сделать ход конем. Развести плату на ломаном софте, а потом получив нормальный вариант платы, переразвести потом копию в бесплатном софте. Тут вся трудность в расчетах, и трудоемкости разводки. Коммерческий софт позволяет значительно ускорить процесс. Но после того, как все готово, можно сделать копию в бесплатном, тут хватит любого, даже самого убогого софта, который поддерживает многослойные платы. А для ПЛИС потребуется минимум 4 слоя.

 

И наконец просто нарисовать код на VHDL и получить 3D картинку, нет, такого нет, поэтому так много постов с оффтопом в этой теме.

 

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Расскажите, кто знает, что могут генерировать эти программы из VHDL-модели.

Предлагаю Вам проявить немного уважения к участникам этой конференции и почитать что-нить "для чайников" в области современной цифровой схемотехники. Тогда Вы не будете задавать вопросы из разряда "почему буквы чёрные и все такие разные", а перейдёте к чему то имеющему смысл в практическом плане.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...