Перейти к содержанию
    

Modelsim Error: (vsim-3033)

У меня возникла слудующая ситуация:

1. Создаю в Quartus простейший проект. Добавляем в него через Mega-Wizzard счетчик (lpm_counter) и генерируем его на VHDL. Также генерирую на VHDL файл верхнего уровня. Создаю в ModelSim-Altera проект, компилирую два вышеуказанных файла и все отлично работает, то есть проект успешно симулируется (для данного проекта test beanch не создавал)

2. Проделываю все вышеперечисленные действия в другом проекте, только генерирую счетчик и файл верхнего уровня на Verilog и тут начинаются проблумы, ModelSim выдает следующую ошибку

 

** Error: (vsim-3033) D:/altera_13_sp1/WORK/WORK/modelsim_3/xxx.v(66): Instantiation of 'lpm_counter' failed. The design unit was not found.

#

# Region: /modelsim_3/b2v_inst

# Searched libraries:

# D:/altera_13_sp1/WORK/WORK/modelsim_3/work

 

Пытаюсь указать путь к библиотеке D:/altera_13_sp1/modelsim_ase/altera/verilog/220model/lpm_counter и ModelSim выдает следующую ошибку

 

** Error: (vsim-19) Failed to access library 'D:/altera_13_sp1/modelsim_ase/altera/verilog/220model/lpm_counter' at "D:/altera_13_sp1/modelsim_ase/altera/verilog/220model/lpm_counter".

#

# No such file or directory. (errno = ENOENT)

# ** Error: (vsim-3033) D:/altera_13_sp1/WORK/WORK/modelsim_3/xxx.v(66): Instantiation of 'lpm_counter' failed. The design unit was not found.

#

# Region: /modelsim_3/b2v_inst

# Searched libraries:

Причем самописные файлы на VHDL или Verilog симулируются в ModelSim замечательно. Я так полагаю, что это связано исключительно с мегафункциями созданными на Verilog

 

Что делать в данном случае?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Причем самописные файлы на VHDL или Verilog симулируются в ModelSim замечательно. Я так полагаю, что это связано исключительно с мегафункциями созданными на Verilog

 

Что делать в данном случае?

скомпилировать библиотеки и прописать нуть к simprim и unisim

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

скомпилировать библиотеки и прописать нуть к simprim и unisim

 

Поясните пожалуйста, как это сделать: "скомпилировать библиотеки и прописать путь к simprim и unisim"

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поясните пожалуйста, как это сделать: "скомпилировать библиотеки и прописать путь к simprim и unisim"

Либы для Альтеры называются наверное по-другому. Но это не важно.

Вам надо скомпилить верилоговские либы для симуляции под Альтеру. Как это делать конкретно - могу рассказать для Xilinx,

думаю для Альтеры тоже несложно это проделать, пользуйтесь поиском.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скорее всего непрописаны, или неправильно прописаны библиотеки, вот документ где все дается по шагам http://home.eng.iastate.edu/~zzhang/course...es/modelsim.pdf Там разбирается как раз пример со счетчиком на Verilog

Еще ссылка на примеры проектов моделирования, попробуйте начать с них http://www.altera.com/support/examples/mod...m-modelsim.html Даны довольно сложные примеры дизайнов с библиотеками.

 

У Quartus для мегафункций используются свои собственные библиотеки.

Здесь похожий случай, человек забыл подключить либы http://www.alteraforum.com/forum/showthread.php?t=35352

 

И еще ссылка как подключать либы http://stackoverflow.com/questions/1876405...ing-modelsim-se

Изменено пользователем vzelenuk

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо большое vzelenuk. PDF с сайта алтеры помог.

Как выяснилось, проблема была именно в неправильном подключении библиотек для мегафункций на verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...