Перейти к содержанию
    

Преобразователь Sin->Меандр

У меня возник когнитивный диссонанс. 100дБ динамдиапазона, 5МГц опоры, и советский синтез.

...

Так что, может сначала глянем на системный уровень проекта?

У Вас богатая фантазия. :) Все гораздо проще.

Можно в принципе догадаться. А 100дБ это не динамический диапазон, а усиление. Такое усиление нужно для приема очень далёкого передатчика. И Вы очень загнули про узкие полосы. Да и опора для нас это внешний сигнал, хороший сигнал, Но при том с очень неприятным динамическим диапазоном. Вот и городим перед формирователем два усилителя.

 

Опору формируем не мы. Это внешний сигнал. На этапе регулировки задаем опору от аджилентовского генератора помоему 5181 (могу ошибаться). Нам пока этого дастаточно.

 

Про измерение фазового шума на 5МГц.... Пока не можем. Не увидим на 5МГц. Городить просмотр гармоник, пока не очень охота. Сначала нужно победить эту фигню с пляской длительности импульсов и только потом уже переходить на крутую и дефицитную измериловку.

 

микросхему сегодня поменять не успели. Система....

 

 

и немного в отступлении от темы:

Про частоты Б23 - согласен. Немного не подходит, но ничего лучше нет. Это к Путину.

 

И про импорт конечно же не на 100%. Кое что из европы, кое что америка. Про Peregrin-ы очень неприятно получилось. Да и мы исходим из позиции: если можно отечество - используем. Если нашего нет, то как нибудь придумываем способы. Все в меру. В этой плате ну неприлично ставить импорт.

А ещё наши чегото про обострение политической обстановки говорят и про китайцев заговорили. И даже про импортозамещение роджерса!.

И кстати очень понравился МОП за 2013 год. Много интересного. Если многое из МОПа смогут сделать промышленный продукт, то будет хорошо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возникла такая задача: есть генератор синуса 120МГц, амплитуда 400мВ относительно 0. Надо преобразовать его в меандр с той же частотой, для использования как опорная частота для ПЛИС, со всеми вытекающими.

 

Любопытствую: что будет, если на ПЛИС подать в качестве опорной частоты синус? Т.е. не преобразовывая его в меандр? Вдруг заработает? :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Любопытствую: что будет, если на ПЛИС подать в качестве опорной частоты синус? Т.е. не преобразовывая его в меандр? Вдруг заработает? :)

Ато!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И про импорт конечно же не на 100%. Кое что из европы, кое что америка. Про Peregrin-ы очень неприятно получилось. Да и мы исходим из позиции: если можно отечество - используем. Если нашего нет, то как нибудь придумываем способы. Все в меру.

А разве 1508ПЛ9Т не является полноценной заменой большинства микросхем ФАПЧ от Peregrine?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У Вас богатая фантазия. Все гораздо проще.

 

и немного в отступлении от темы:

Про частоты Б23 - согласен. Немного не подходит, но ничего лучше нет. Это к Путину.

Ну дык домыслы - это моя специализация по предыдущей работе. Ну а Путин не запретит поставить простейший фильтр на одном транзисторе ОК с большим конденсатором в базе на корус, а Б23 - так, для очистки совести. Ну и, кстати, VCO прав - может повышающий трансформатор с собственно входным каскадом по опоре синтеза окажется лучшим формирователем, чем вся наружная лабуда. В смесителях на 74LVC1G3157 самым лучшим формирователем оказалась она сама по входу. Главное - размах побольше раскачать и все (автоматом фронты покруче).

На всякий пожарный ветка по питанию у нас в радиочастотке http://electronix.ru/forum/index.php?showt...mp;#entry631597

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажите кто-нибудь, что делать, когда нужно обратное преобразование Меандр->Sin? Вопрос вызван тем обстоятельством, что многие синтезаторы частоты (в т.ч. PLL-типа) выдают на выходе меандр, тогда как для применений бывает нужен синус.

 

Испортить меандр несложно - чуть уже полоса пропускания, и вот уже уголки у меандра поплыли. К сожалению, левый и правый (подъем и спуск) углы меандра оплывают неодинаково, а потому получается не синусоида, а какой-то уродец, в пределе превращающийся в зигзаг.

 

Поставить на выходе колебательный контур тоже нельзя, т.к. такое решение зафиксирует частоту жестко, а хотелось бы, чтобы меандр превращался в синус на любой частоте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажите кто-нибудь, что делать, когда нужно обратное преобразование Меандр->Sin? Вопрос вызван тем обстоятельством, что многие синтезаторы частоты (в т.ч. PLL-типа) выдают на выходе меандр, тогда как для применений бывает нужен синус.

......

 

Поставить на выходе колебательный контур тоже нельзя, т.к. такое решение зафиксирует частоту жестко, а хотелось бы, чтобы меандр превращался в синус на любой частоте.

Справа вверху http://electronix.ru/forum/index.php?showt...t&p=1230569 на выходе тайнилоджик то, о чем Вы спросили о двух катушках и конденсаторе на корпус. ФНЧ называется. Так как у Вас меандроподобный сигнал - есть запас на вторую гармошку - т.е. давить надо начиная с третьей. Поэтому для октавы перестройки обычно хватает. Ув. rloc для Вас и схемку нарисовал. http://electronix.ru/forum/index.php?showt...t&p=1231133 Пикасо-не Пикассо, но достаточно понятно. ФНЧ еще коммутировать можно - так во многих генераторах например типа Г4-158 - фильтр 5.067.028Э3 - так делалось.

Изменено пользователем ledum

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Испортить меандр несложно - чуть уже полоса пропускания, и вот уже уголки у меадра поплыли. К сожалению, левый и правый (подъем и спуск) углы меандра оплывают неодинаково, а потому получается не синусоида, а какой-то уродец, в пределе превращающийся в зигзаг.

Поэтично.

Проблема стара, как мир, но путей решения не так много. Определяются, в основном, требованиями к количеству гармоник, АЧХ и фазовой задержке.

Классическое - перестраиваемый фильтр высокого порядка. На переключаемых конденсаторах, например.

Экзотическое - генератор синуса с петлёй ФАПЧ, следящей за частотой меандра...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ФНЧ называется.

Кварцевый или ПАВ-фильтры дадут более чистый синус, чаще меньше по размерам, но дороже.

Мне кажется, там проблема слишком преувеличена. Там наоборот меандр выправлять надо.

По той методике, что rloc Виталию предлагал. С помощью синхронизации триггера TinyLogic.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кварцевый или ПАВ-фильтры дадут более чистый синус, чаще меньше по размерам, но дороже.

Мне кажется, там проблема слишком преувеличена. Там наоборот меандр выправлять надо.

По той методике, что rloc Виталию предлагал. С помощью синхронизации триггера TinyLogic.

ЕМНИП перестройка 170-230МГц надо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ЕМНИП перестройка 170-230МГц надо.

Тогда триггер, наверное, не потянет. Может быть RBP-204+? В 3dB вроде укладывается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ФНЧ называется.... ФНЧ еще коммутировать можно - так во многих генераторах например типа Г4-158 - фильтр 5.067.028Э3 - так делалось.
И в Г-151, из меандра - синус посредством переключаемых ФНЧ. Получался уровень гармоник не более -25дБ.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поэтично. Проблема стара, как мир, но путей решения не так много. Определяются, в основном, требованиями к количеству гармоник, АЧХ и фазовой задержке. Классическое - перестраиваемый фильтр высокого порядка. На переключаемых конденсаторах, например.
До пары сотен кГц, а дальше:

Экзотическое - генератор синуса с петлёй ФАПЧ, следящей за частотой меандра...
которое становиться классическим на более высоких частотах.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скажите кто-нибудь, что делать, когда нужно обратное преобразование Меандр->Sin?

Обожаю чувствовать себя в роли "кого-нибудь" :)

А делать можно много что. О традиционных способах уважаемые люди уже сказали, так что мне, как старому извращенцу, остались нетрадиционные))))

 

Например, была такая схема: меандр делился на триггерах на 3 и затем эти частоты (f и f/3) смешивались на резисторах в отношении 1:3. В результате получалось ступенчатое подобие синусоиды, которую сгладить фильтром уже значительно легче.

 

Более высокое качество можно получить так:

Сначала при помощи интегратора сформировать из меандра треугольник. Потом этот треугольник пропустить через нелинейный (ограничивающий) элемент, хорошо подходят на эту роль ЛЭ кмоповской логики. Такой ограничитель придавит острые уголки треугольника. Это уже почти точный синус, для многих задач подходящий даже без дальнейшего приглаживания.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кварцевый или ПАВ-фильтры дадут более чистый синус, чаще меньше по размерам, но дороже.

Кварц и ПАВ - это средства для фиксированной частоты, т.к. их едва ли возможно перестраивать вслед за изменением частоты. А требование "хотелось бы, чтобы меандр превращался в синус на любой частоте" я уже озвучивала.

 

Сначала при помощи интегратора сформировать из меандра треугольник. Потом этот треугольник пропустить через нелинейный (ограничивающий) элемент, хорошо подходят на эту роль ЛЭ кмоповской логики. Такой ограничитель придавит острые уголки треугольника. Это уже почти точный синус, для многих задач подходящий даже без дальнейшего приглаживания.

А я вот экспериментально обнаружила эффект, что когда меандр пропускаешь через достаточно длинную линию (50-омный кабель), то он сам собой превращается в синус, вполне симпатичного качества. Причем в довольно широком диапазоне частот. Отчего бы это?

 

P.S. Выход моей микросхемы-синтезатора, согласно ее даташита, рассчитан именно на 50-омный выход.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...