Перейти к содержанию
    

Xilinx timing constraints: что необходимо для простейшей транзакции?

Хорошую целостность сигнала клока для не-дифф линии.

Я бы сказал вопрос не в целостности, а гарантированной и предсказуемой задержке синхросигнала относительно данных.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хороший совет был посчитать Setup/Hold для начала. Использование констрейнтов OFFSET OUT и OFFSET IN (RTFM) поможет понять по отчетам какие временные соотношения клоков/данных вы реально имеете на выходах/входах данных. Может оказаться, что в выходном интерфейсе клок skew по разным битам данных достаточно большой. И входную задержку по клоку на приемной стороне придется точно рассчитывать с учетом этого валидного окна данных.

Еще стоит почитать XAPP1064, там есть приложение с примерами-исходниками по использованию IDELAY, ISERDES.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос раз - как разведена плата? Все проводники в шине должны быть электрически (не путать с физически) одинаковой длины.

Вопрос два - какая длина и какие меры для удаления "звона" приняты? Дорожка + емкость пина = колебательный контур.

Вопрос три - чем формируется и как принимается клок? Лучший вариант формирователя - ДДР буфер с константами на входах. Лучший вариант приемника - ДДР буфер с локальным клоком от этого пина.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения за то, что молча бросил тему - закрутился и забыл...

 

Проблему я вроде бы решил месяц назад (ну т.е. мой тест прошел, но более глобально еще не тестировал), использовав в явном виде клоковые примитивы Virtex6, а именно IBUFG, BURF, также добавил задержку по клоку IODELAYE1. Что именно помогло, не выяснял. Если кому-нибудь интересно, могу привести куски vhdl.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...