Перейти к содержанию
    

Доступ к сигналам внутренних компонент в TestBench (VHDL,ISIm)

Использую Xilinx ISE 14.5.

Описываю на VHDL иерархическую структуру устройства.

Получаю Post - Place & Route модель, пишу для нее TestBench на VHDL.

Каким образом можно получить значение сигнала, который прописан

в одной из вложенных компонент устройства?

Значение сигнала без проблем наблюдается на диаграмме в ISim.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Использую Xilinx ISE 14.5.

Описываю на VHDL иерархическую структуру устройства.

Получаю Post - Place & Route модель, пишу для нее TestBench на VHDL.

Каким образом можно получить значение сигнала, который прописан

в одной из вложенных компонент устройства?

Значение сигнала без проблем наблюдается на диаграмме в ISim.

В Verilog можно так uut.module_1.submodule_2.signal_3

Как в VHDL - не знаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Verilog можно так uut.module_1.submodule_2.signal_3

Как в VHDL - не знаю.

 

Интуитивно понимаю, что где-то так и должно быть.

Но, то ли я не могу разобраться с иерархией компонент относительно TestBench,

то ли не понимаю, возможен такой доступ в принципе или нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интуитивно понимаю, что где-то так и должно быть.

Но, то ли я не могу разобраться с иерархией компонент относительно TestBench,

то ли не понимаю, возможен такой доступ в принципе или нет?

Тут

см. 18.1 External names

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тут

см. 18.1 External names

 

спасибо, в VHDL-2008 такая возможность есть, но

--

p.570

VHDL-87,-93, and -2002

These versions of VHDL do not allow external names

--

 

похоже, что это не поддерживает Xilinx ISE:-(

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что у вас в качестве симулятора используется? Залезание в потроха разных entity, если этого не позволяет язык, выполняется с помощью возможностей симулятора. По крайней мере, QuestaSim и VCS это позволяют (спец. командами и описаниями). Про ISim не знаю - почитайте в документации, или задайте на форуме именно такой вопрос.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 XBG432

А разве нельзя обойтись без залезания в Post - Place & Route модель?

На сколько я помню, это мягко говоря не рекомендуют делать...

 

По теме:

VHDL Procedure — init_signal_spy()

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я все нужные для тестов и отладки внутренние сигналы тупо вывожу наружу через выходы. и не парюсь. потом зачастую и не чищу, так и оставляю в воздухе, не мешают.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я все нужные для тестов и отладки внутренние сигналы тупо вывожу наружу через выходы. и не парюсь. потом зачастую и не чищу, так и оставляю в воздухе, не мешают.

 

Не всегда есть достаточное количество свободных пинов.

И скорее всего за максимальное быстродействие Вам бороться еще не доводилось.

 

2 XBG432

А разве нельзя обойтись без залезания в Post - Place & Route модель?

На сколько я помню, это мягко говоря не рекомендуют делать...

 

По теме:

VHDL Procedure — init_signal_spy()

 

Привет! :)

Это вроде как Моделсимовская фича... Нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не всегда есть достаточное количество свободных пинов.

И скорее всего за максимальное быстродействие Вам бороться еще не доводилось.

какие свободные пины? на внешние ноги ничего выводить не надо, у человека проблема в симуляторе глянуть что внутри кучи вложенных модулей творится.

и да наверно за максимальное быстродействие я никогда не боролся, ничего быстрее гигабитного езернета и 1,5Гбит оптики не делал :crying:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

какие свободные пины? на внешние ноги ничего выводить не надо, у человека проблема в симуляторе глянуть что внутри кучи вложенных модулей творится.

и да наверно за максимальное быстродействие я никогда не боролся, ничего быстрее гигабитного езернета и 1,5Гбит оптики не делал :crying:

Изъясняйтесь тогда точнее. Меня Ваше "вывожу наружу" + "в воздухе" сбило.

Ну... а пенисами меряться не собираюсь :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

Привет! :)

Это вроде как Моделсимовская фича... Нет?

Доброе утро B)

В принципе оно то так, но попробовать никто не мешает. Думаю это как раз выход из ситуации для ТС.

Само вытягивание сигналов из Post - Place & Route уже как то странно выглядит....так что можно и попробовать применить эту конструкцию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 XBG432

А разве нельзя обойтись без залезания в Post - Place & Route модель?

На сколько я помню, это мягко говоря не рекомендуют делать...

 

По теме:

VHDL Procedure — init_signal_spy()

 

Так как раз в этом задача и стоит. Удивляет отсутствие автоматизации мониторинга сигналов в подобных ситуациях со стороны testbench.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...