Перейти к содержанию
    

Максимальный размер памяти (RAM) в Spartan-3

Имеем проект для Spartan-3 (xc3s400).

Требуется в нем статическую память RAM 10bit x 32K.

Максимум, что удается запихать в этот чип память 10bit x 24K (создаем через Coregen).

Казалось бы в чипе остается еще ресурса для памяти - из Map Report:

 

Logic Utilization:

Number of Slice Flip Flops: 25 out of 7,168 1%

Number of 4 input LUTs: 107 out of 7,168 1%

Logic Distribution:

Number of occupied Slices: 66 out of 3,584 1%

Number of Slices containing only related logic: 66 out of 66 100%

Number of Slices containing unrelated logic: 0 out of 66 0%

*See NOTES below for an explanation of the effects of unrelated logic

Total Number of 4 input LUTs: 107 out of 7,168 1%

Number of bonded IOBs: 38 out of 97 39%

Number of Block RAMs: 14 out of 16 87%

Number of GCLKs: 2 out of 8 25%

Number of DCMs: 1 out of 4 25%

 

Number of RPM macros: 15

Total equivalent gate count for design: 925,490

Additional JTAG gate count for IOBs: 1,824

Peak Memory Usage: 110 MB

 

Вроде 2 Block RAMs - свободны , а также по 7,168 Slice Flip Flops и 7,168 LUTs , тоже есть.

Есть ли способ задействовать эти ресурсы в реализацию недостающей памяти?

Если да, то как это делать, посоветуйте?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сама конечно идея сделать из ПЛИС только память неоригинальна. Что ж у богатых свои причуды... :08:

Итак Ваш кристалл содержит 288К Блочной памяти и 56К Распределенной памяти. Т.е. в принципе 344000 бит.

Распределенная память это и есть LUTs. Но они же идут и на логику. И она видимо тоже у Вас чтото занимает??

То что при организации на 10бит остались 2 блочных элемента ну так память организована блоками не кратными 10 битам.

Не знаю какой софт Вы применяете - но если в последней версии то можно указать Coregen-ру тип памяти , SRL16 - это и будет распределенная память. Те. сгенерить отдельно и добавить к блочной.

Сделать память на триггерах тоже можно. На VHDL нарисуйте регистры на например FDCE элементах(это и будут триггеры) и дешифраторы адреса - получится память :disco:

Заберете всё.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Предлагается реализовать такую память следующим образом:

1. Сконфигурировать блочную память в режим 9bit x 32k

2. Создать в Ipcore распределенную память 1bit x 32k (~50% логики )

3. Объеденить 1и 2 пункт.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...