Перейти к содержанию
    

Зашифрованный верилог и QuestaSim

Здравствуйте.

Проблема такая, что есть кучка, довольно основательная, шифрованных Verilog-файлов. Квартус (при добавлении специальной строки в license.dat) их понял и откомпилировал, а вот как получить эмуляцию в QuestaSim, я не понимаю. Просто компилировать он не хочет, говорит - и весьма справедливо, - что там некорректные двоичные символы внутри.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По идее нужно в квартусе получить постсинтетический нетлист, и уже его скармливать в квесту.

Посмотрите описание Вашего ip-ядра, там как правило объясняется, что нужно делать для подготовки моделирования (нетлисты, тестбенчи, скрипты и т.п.).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По идее нужно в квартусе получить постсинтетический нетлист, и уже его скармливать в квесту.

 

Да я так и сделал... Беда в другом - в тестбенчах применяются нешифрованные файлы, но с передачей параметру модулям шифрованных файлов, подключенных внутри, и вот тут-то и наступает большой ОЙ... Потому что синтезированный верилог - только на тот параметр, который в нём по умолчанию...

А документации просто нет, какие-то текстовый ридми, и всё... Рекомендуют использовать nc, правда, а у меня questa, но если nc умеет (как-то, в ридми ничего про то нету), то квеста чем хуже?

Да, файла проекта под какой-либо САПР кроме Квартуса, тоже нет! :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

А приведите примерчик как именно файл закодирован? Я как то экспериментировал с modelsim - "уговаривал" его показать

что внутри файлов для axi bfm от xilinx и мониторов от questa.

 

Удачи! Rob.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А документации просто нет, какие-то текстовый ридми, и всё...

Что-то не понятно...

Какое Ip-ядро Вы используете (по крайней мере скажите, какую фичу в квартусовский лицензионный файл прописываете) ?

Какой версией квартуса пользуетесь?

 

Еще вопрос - у Вас альтеровская библиотека под квесту скомпилирована?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А приведите примерчик как именно файл закодирован?

 

 

Пожалуйста. просто бинарь:

 

Что-то не понятно...

Какое Ip-ядро Вы используете (по крайней мере скажите, какую фичу в квартусовский лицензионный файл прописываете) ?

Какой версией квартуса пользуетесь?

11-й квартус. Нет, с квартусом проблем нет, всё развелось. Проблема отмоделировать тестовые воздействия под QuestaSim.

Фича, которая прописывается, просто цифровая, типа "FEATURE 7A43_F510 alterad".

 

Еще вопрос - у Вас альтеровская библиотека под квесту скомпилирована?

Конечно. С загрузкой и компиляцией нетлиста под Квесту проблемы также нет...

 

У меня есть подозрения, что нам дали тестовую систему, рассчитанную на не закодированные файлы... Спросили у авторов. ) но пока они ответят...

ai.v

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Фича, которая прописывается, просто цифровая, типа "FEATURE 7A43_F510 alterad".

Понятно. Это не альтеровское ip-ядро.

Тут, пожалуй, надо авторов трясти...

Хотя странно, что в постситнетическом нетлисте (а тем более в тестбенче) есть какие-то отсылки к зашифрованному исходнику...

 

Какие у Вас настройки EDA Netlist Writer'а?

Содержимое папки Netlist Writer'а (по умолчанию для квесты это ..\simulation\modelsim) можете показать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хотя странно, что в постситнетическом нетлисте (а тем более в тестбенче) есть какие-то отсылки к зашифрованному исходнику...

 

Нет, нет, я наверное плохо объяснил.

Суть в том, что проект состоит из двух частей:

1. В одной папке RTL, синтезируемый Квартусом.

2. Во второй - тестовый поведенческий набор файлов на Верилоге, естественно что не синтезируемый.

 

Но тестовый набор содержит ссылки на файлы, который в в папке с RTLьным кодом. Вот потому я не могу промоделировать, чтобы вообще просто посмотреть, как ядро работает.

В нетлисте от Квартуса вызовов зашифрованных файлов нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Действительно, прислали другие файлы, уже под моделирование. Но там стоит:

 

//pragma protect key_keyowner=Cadence Design Systems.

 

 

НЦ понимает влёт, квеста воротит нос. Вот же, не одно так другое...

 

В общем, Квеста в этом смысле не годится. Там и формат pragma другой, только NC. Ну и ладно. )

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Действительно, прислали другие файлы, уже под моделирование.

Если не секрет, что это за ядрописатели такие?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...