Перейти к содержанию
    

Моделирование в Quartus 9.

Сделал небольшой графический проектик в Quartus 9. Всё скомпилировалось и моделируется с помощью встроенного симулятора. Вопрос собственно такой... возможно ли

наблюдать сигналы во внутренних узлах схемы?. Система моделирования отображает только сигналы выведенные непосредственно на пины микрухи (EPM3064 в частности).

 

P.S. Мозх пока думает категориями MicroCap, где возможно отобразить сигнал в практически любой точке схемы ))).

Изменено пользователем BigBolt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вопрос собственно такой... возможно ли

наблюдать сигналы во внутренних узлах схемы?. Система моделирования отображает только сигналы выведенные непосредственно на пины микрухи (EPM3064 в частности).

 

SignalTap II Logic Analyzer - описание inside :beer:

 

В ASIC такая штука называется Co-Emulation Engine (например, Mentor Veloce)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сделал небольшой графический проектик в Quartus 9. Всё скомпилировалось и моделируется с помощью встроенного симулятора. Вопрос собственно такой... возможно ли

Неправильный способ работы порождает неправильные вопросы. Чтобы в 1001 раз не разжевывать одно и тоже, сделайте 4 шага.

Надо сделать:

1. Забыть "графический проектик " как страшный сон навсегда. Перейти на любой HDL.

2. Установить МоделСим и научиться с ним работать.

3. Найти у меня на сайте, в статьях, "Краткий Курс" и прочитать.

4. На сайте Мегратекса найти описание работы МоделСим и прочитать.

 

Вот примерно так.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SignalTap II Logic Analyzer - описание inside :beer:

 

В ASIC такая штука называется Co-Emulation Engine (например, Mentor Veloce)

 

Спасиб. Изучим

 

 

Неправильный способ работы порождает неправильные вопросы. Чтобы в 1001 раз не разжевывать одно и тоже, сделайте 4 шага.

Надо сделать:

1. Забыть "графический проектик " как страшный сон навсегда. Перейти на любой HDL.

2. Установить МоделСим и научиться с ним работать.

3. Найти у меня на сайте, в статьях, "Краткий Курс" и прочитать.

4. На сайте Мегратекса найти описание работы МоделСим и прочитать.

 

Вот примерно так.

 

Под графическим проектиком я имел ввиду вот что: файл верхнего уровня сделан в графическом виде - блоки, наполнение реализовал на Veriloge. ПЛИС только начинаю осваивать. Решил сделать этакий комбинированный проект что бы прочусвтвовать и то и другое. Сам за то что бы писать всё ручками.

По поводу МоделСим... Приобрёл отладочную платку от Terasic DE0 Board c Циклоном III на борту. В комплекте диск на котором Quartus 9 и ModelSim AE и ASE. Какой из них лучше ставить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Система моделирования отображает только сигналы выведенные непосредственно на пины микрухи (EPM3064 в частности).

 

Quartus, насколько я помню, может отображать при симуляции не только пины но и внутренние сигналы, а именно - сщстояние\выходы тригеров.

Состояние произвольных цепей не может.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

возможно ли наблюдать сигналы во внутренних узлах схемы?

 

Quartus, насколько я помню, может отображать при симуляции не только пины но и внутренние сигналы, а именно - сщстояние\выходы тригеров.

Состояние произвольных цепей не может.

 

Можно вывести любой сигнал если выполняется следующее:

 

1) Этот сигнал подключен к выходному порту верхнего уровня (неважно через какие блоки, логики, регистры по дороге).

 

2) Сигнал является выходом регистра, или:

 

3) Если этот сигнал не выход регистра, то нужно выставить диррективу synthesis keep, например:

 

wire my_wire/* synthesis_keep */;

 

Важно помнить, что при синтезировании подобное превратится в буфер (LCELL) и может повлиять на тайминг / площадь проекта.

 

 

ДОБАВЛЕНО:

если вышесказанное выполнено, то все "видимые" сигналы можно будет искать / добавлять по опции "SignalTap Pre-Synthesis" в окошке поиска.

Изменено пользователем Cordroy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте уважаемые.

Я возможно не в тему задам вопрос и влезу в ваш разговор, извиняюсь заранее. Разбираюсь с Моделсимом, пытался запустить его через квартус, настройки вроде все выполнил, создал шаблон тестбенч и привязал его к проекту. Но вот дохожу до последнего шага, где EDA RTL Simulation и тут выдается ошибка Отдельно моделсим запускается.Просто интересно глянуть как в связке работает. Ведь только начинаю.

post-68127-1332340406_thumb.png

Изменено пользователем kalys

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не совсем понял ваш вопрос?

кириллицу из путей файла уберите

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ещё раз повторюсь... Какой же ModelSim лучше устанавливать AE или ASE? В чём разница?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ещё раз повторюсь... Какой же ModelSim лучше устанавливать AE или ASE? В чём разница?

 

AE быстрее, [немного] больше возможностей, но требует лицензии. ASE бесплатен. Для EPM3064, в частности, ASE за глаза хватит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

AE быстрее, [немного] больше возможностей, но требует лицензии. ASE бесплатен. Для EPM3064, в частности, ASE за глаза хватит.

 

А для работы с Cyclone III ASE пойдёт? Комплект разработчика имеет именно его на борту...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А для работы с Cyclone III ASE пойдёт? Комплект разработчика имеет именно его на борту...

 

Для простых проектов подойдет, для крупных - могут быть нюансы. Для начала точно подойдет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Quartus 9 нужно просимулировать проект. Время просчета - более 3 часов. Вопрос: возможно ли, не дожидаясь окончания процесса, посмотреть текущее состояние времянки, дабы выяснить, всё ли идет нормально и затем либо продолжить просчет, либо отменить симуляцию, чтобы внести правки в проект?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...