Приветствую вас. Возникло некоторое непонимание при написании простейшего счетчика. Приведу пример самого простейшего счетчика c синхронным сбросом на VHDL, которым я обычно пользуюсь: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY COUNTER_SCLR IS GENERIC ( WIDTH : INTEGER := 512 ); PORT ( CLK : IN STD_LOGIC; SCLR : IN STD_LOGIC; DATA_OUT : OUT INTEGER RANGE 0 TO WIDTH - 1 := 0 ); EN