Перейти к содержанию
    

Поиск

Показаны результаты для тегов 'simulation'.

  • Поиск по тегам

    Введите теги через запятую.
  • Поиск по автору

Тип контента


Форумы

  • Сайт и форум
    • Новости и обсуждения сайта и форума
    • Другие известные форумы и сайты по электронике
    • В помощь начинающему
    • International Forum
    • Образование в области электроники
    • Обучающие видео-материалы и обмен опытом
  • Cистемный уровень проектирования
    • Вопросы системного уровня проектирования
    • Математика и Физика
    • Операционные системы
    • Документация
    • Системы CAD/CAM/CAE/PLM
    • Разработка цифровых, аналоговых, аналого-цифровых ИС
    • Электробезопасность и ЭМС
    • Управление проектами
    • Нейронные сети и машинное обучение (NN/ML)
  • Программируемая логика ПЛИС (FPGA,CPLD, PLD)
    • Среды разработки - обсуждаем САПРы
    • Работаем с ПЛИС, области применения, выбор
    • Языки проектирования на ПЛИС (FPGA)
    • Системы на ПЛИС - System on a Programmable Chip (SoPC)
    • Методы и средства верификации ПЛИС/ASIC
  • Цифровая обработка сигналов - ЦОС (DSP)
    • Сигнальные процессоры и их программирование - DSP
    • Алгоритмы ЦОС (DSP)
  • Микроконтроллеры (MCU)
    • Cредства разработки для МК
    • ARM
    • RISC-V
    • AVR
    • MSP430
    • Все остальные микроконтроллеры
    • Отладочные платы
  • Печатные платы (PCB)
    • Разрабатываем ПП в САПР - PCB development
    • Работаем с трассировкой
    • Изготовление ПП - PCB manufacturing
  • Сборка РЭУ
    • Пайка и монтаж
    • Корпуса
    • Вопросы надежности и испытаний
  • Аналоговая и цифровая техника, прикладная электроника
    • Вопросы аналоговой техники
    • Цифровые схемы, высокоскоростные ЦС
    • RF & Microwave Design
    • Метрология, датчики, измерительная техника
    • АВТО электроника
    • Умный дом
    • 3D печать
    • Робототехника
    • Ремонт и отладка
  • Силовая электроника - Power Electronics
    • Силовая Преобразовательная Техника
    • Обратная Связь, Стабилизация, Регулирование, Компенсация
    • Первичные и Вторичные Химические Источники Питания
    • Высоковольтные Устройства - High-Voltage
    • Электрические машины, Электропривод и Управление
    • Индукционный Нагрев - Induction Heating
    • Системы Охлаждения, Тепловой Расчет – Cooling Systems
    • Моделирование и Анализ Силовых Устройств – Power Supply Simulation
    • Компоненты Силовой Электроники - Parts for Power Supply Design
  • Интерфейсы
    • Форумы по интерфейсам
  • Поставщики компонентов для электроники
    • Поставщики всего остального
    • Компоненты
  • Майнеры криптовалют и их разработка, BitCoin, LightCoin, Dash, Zcash, Эфир
    • Обсуждение Майнеров, их поставки и производства
  • Дополнительные разделы - Additional sections
    • Встречи и поздравления
    • Ищу работу
    • Предлагаю работу
    • Куплю
    • Продам
    • Объявления пользователей
    • Общение заказчиков и потребителей электронных разработок

Поиск результатов в...

Поиск контента, содержащего...


Дата создания

  • Начало

    Конец


Дата обновления

  • Начало

    Конец


Фильтр по количеству...

Регистрация

  • Начало

    Конец


Группа


AIM


MSN


Сайт


ICQ


Yahoo


Jabber


Skype


Город


Код проверки


skype


Facebook


Vkontakte


LinkedIn


Twitter


G+


Одноклассники


Звание

Найдено: 0 результатов

  1. Здравствуйте, коллеги Слышал про возможность "растянуть" time-slot на временной диаграмме в симуляторе Xcelium. Насколько я понимаю, это наглядно отобразит действия во всех временных регионах внутри любого time-slot (удобно при отлавливании race-condition). Может ли кто подсказать алгоритм действий?
  2. Приветствую участников форума, Возникла проблема согласования импеданса чип-антенны YC0009AA на весьма компактной плате с размерами 13.2x53.4 мм. Топология области антенны первого образца платы выглядит следующим образом: В процессе измерения импеданса в точке подключения C45 было на частоте 2440 МГц получено очень низкое значение импеданса, почти не отличимое от КЗ. Таким образом получается, что согласовать подобную антенну и получить импеданс около 50 Ом на мой взгляд практически невозможно. В рекомендациях производителя антенны приведена эталонная рекомендуемая конструкция платы: Однако повторить точно такую же топологию антенны и полигона вокруг неё на моей плате весьма затруднительно, к тому же была найдена статья, которая в подробностях рассказывает о роли полигона земли в процессе работы антенны: Ceramic Antenna Magic MWJournal Draft.pdf Практические эксперименты показали, что уменьшение площади выреза около антенны на имеющихся образцах плат приводит к увеличению импеданса до величин порядка 30 Ом в действительной части с этим уже казалось бы можно было работать. Но поскольку все эти модификации были сделаны с помощью проводков и паяльника, то из них практически невозможно сделать вывод о том, какая на практике должна быть конфигурация выреза около антенны. В процессе поиска подобных маленьких плат с Bluetooth чип-антеннами была найдена плата, использующая антенну ACA‐2012‐A1‐CC‐S для которой производитель приводит заметно более компактные параметры выреза, которые бы меня вполне устроили в текущих условиях, но это другая антенна с другими параметрами и бездумно повторять эту топологию категорически не хочется: В связи с этим передо мной стоит главный вопрос: как правильно спроектировать (рассчитать) геометрию для размещения чип-антенны на плате с малыми габаритами? Как вы решаете подобные задачи? Возможно есть какие-то общие рекомендации по проектированию подобных антенных узлов? Мне, правда, их пока найти не удалось.
  3. Quartus Prime Lite 20.1.1 Build 720, FPGA Cyclone IV E (EP4CE10E22C8). Для реализации I2C интерфейса необходимо опрашивать состояние двунаправленного порта, который имеет внешние подтягивающие резисторы. Полный код тестового модуля: module OpenDrain ( input clk_main, inout scl_i2c, inout sda_i2c, input scl_out, input sda_out, output reg scl_in, output reg sda_in ); // Debounce and deglitch input signals reg [2:0] sda_ff, scl_ff; always @ (posedge clk_main) begin scl_ff <= {scl_ff[1:0], scl_i2c}; if (~|scl_ff) scl_in <= 0; else if ( &scl_ff) scl_in <= 1; sda_ff <= {sda_ff[1:0], sda_i2c}; if (~|sda_ff) sda_in <= 1'b0; else if ( &sda_ff) sda_in <= 1'b1; end assign scl_i2c = (scl_out) ? 1'bz : 1'b0; assign sda_i2c = (sda_out) ? 1'bz : 1'b0; endmodule Инициирующая последовательность тестовых сигналов выглядит как на pic01. Здесь два OPEN DRAIN порта: scl_i2c и sda_i2c. В первом случае инициирущий тестовый сигнал всегда в "z" состоянии, а во втором, в моменты, когда порт должен подтягиваться к шине питания, вручную заданы состояния Weak High. Результирующие сигналы представлены на pic02 (для Quartus) и pic03 (для ModelSim). Как видно, для scl_i2c порта входное "z" состояние воспринимается как состояние "x", в то время как у sda_i2c таких проблем нет. Вот здесь написано, что в Assignment Editor можно включить опцию Passive Resistor. Но там такой опции НЕТ. Включение этой опции вручную (set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to scl_i2c) в qsf файл не дало никакого эффекта. Что еще пробовал. - добавлял внутренний pullup резистор (set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to scl_i2c). - пробовал в .vo файле менять параметр для cycloneive_io_ibuf с .simulate_z_as = "z" на .simulate_z_as = "1". - пробовал в коде указывать net_type как tri1: ... tri1 (strong0,strong1) tr1 = scl_i2c; always @ (posedge clk_main) ... scl_ff <= {scl_ff[1:0], tr1}; ... Существует ли способ симулировать (функциональная симуляция) эти внешние резисторы. Т.е. при состоянии "z" на порте, входная логика должна воспринимать это как лог.1. P.S. Прилагаю проект. Если у кого возникнет желание проверить симуляцию в ModelSim, то для облегчения, достаточно выполнить в нем переход в директорию "...\simulation\qsim\" (File => Change Directory...), а затем запустить tcl скрипт MyTest_DO.do (Tools => Tcl => Execute Macro...). OpenDrain.rar
  4. l476 процессор при симуляции сразу же иду по каждой команде. У меня так было с 103 процессором. лечилось указанием параметров dialog dll + parametr исходя из этого документа: http://www.keil.com/support/docs/3726.htm#:~:text=The µVision Debugger provides complete,chip peripherals for many devices. а вот какие параметры нужно указать при симуляции L476 я не нашел. Может он вообще не симулируется?
×
×
  • Создать...