Поиск
Показаны результаты для тегов 'ram'.
-
hyperram HYPERRAM™ 3.0 от Winbond
МакроГрупп опубликовал тема в Объявления пользователей
Winbond Electronics совместно с компанией Infineon Technologies объявили о расширении сотрудничества в области продуктов HYPERRAM™, представив новую модель HYPERRAM™ 3.0 с более высокой пропускной способностью. Подробнее -
Продам ИМС памяти: FLASH NOR память с Parallel интерфейсом, объёмом 32 Мбит, в корпусе TSOP-48 . Напряжение питания: 2.7...3.6 В . Рабочая температура: -40...85 °C (4Mx8 or 2Mx16 70ns) M29W320DB70N6E всего 10шт цена за 1шт. 150руб Цена за 10шт 1000руб RAM память с Parallel интерфейсом, объёмом 128 Мбит, в корпусе TSOP86 . Напряжение питания: 3...3.6 В . Рабочая температура: 0...70 °C . SDRAM Memory IC 128Mb (4M x 32) Parallel 143MHz 5.5ns 86-TSOP II MT48LC4M32B2P-7:G всего 30шт. цена за 1шт. 600руб Цена за 10шт 4000руб Цена за 20шт 8000руб Цена за 30шт 12000руб Продажа в Москве без пересылки. Связь через почту [email protected] фото ИМС покрупнее
- 4 ответа
-
- mt48lc4m32b2p
- m29w320db70
-
(и ещё 4 )
C тегом:
-
Всем привет! Следующая проблема, я пытаюсь инициализировать память с помощью атрибутов: type memory is array (511 downto 0) of std_logic_vector (15 downto 0); signal ram_data: memory; attribute ram_init_file : string; attribute ram_init_file of ram_data : signal is "mem.mif"; Он синтезирует память со следующими параметрами: Info (12133): Instantiated megafunction "altsyncram:ram_data_rtl_0" with the following parameter: Info (12134): Parameter "OPERATION_MODE" = "ROM" Info (12134): Parameter "WIDTH_A" = "16" Info (12134): Parameter "WIDTHAD_A" = "9" Info (12134): Parameter "NUMWORDS_A" = "512" Info (12134): Parameter "OUTDATA_REG_A" = "UNREGISTERED" Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" Info (12134): Parameter "OUTDATA_ACLR_A" = "NONE" Info (12134): Parameter "INDATA_ACLR_A" = "NONE" Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" Info (12134): Parameter "INIT_FILE" = "mem.mif" И все было было бы хорошо, но в ModelSim вижу следующее: Если задать память через мегафункции и там прописать файл инициализации, то все хорошо, но мне надо научиться через атрибуты. В чем проблемы? Вот все библиотеки: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.math_real.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; library altera; use altera.altera_syn_attributes.all;