Перейти к содержанию
    

Поиск

Показаны результаты для тегов 'ip'.

  • Поиск по тегам

    Введите теги через запятую.
  • Поиск по автору

Тип контента


Форумы

  • Сайт и форум
    • Новости и обсуждения сайта и форума
    • Другие известные форумы и сайты по электронике
    • В помощь начинающему
    • International Forum
    • Образование в области электроники
    • Обучающие видео-материалы и обмен опытом
  • Cистемный уровень проектирования
    • Вопросы системного уровня проектирования
    • Математика и Физика
    • Операционные системы
    • Документация
    • Системы CAD/CAM/CAE/PLM
    • Разработка цифровых, аналоговых, аналого-цифровых ИС
    • Электробезопасность и ЭМС
    • Управление проектами
    • Нейронные сети и машинное обучение (NN/ML)
  • Программируемая логика ПЛИС (FPGA,CPLD, PLD)
    • Среды разработки - обсуждаем САПРы
    • Работаем с ПЛИС, области применения, выбор
    • Языки проектирования на ПЛИС (FPGA)
    • Системы на ПЛИС - System on a Programmable Chip (SoPC)
    • Методы и средства верификации ПЛИС/ASIC
  • Цифровая обработка сигналов - ЦОС (DSP)
    • Сигнальные процессоры и их программирование - DSP
    • Алгоритмы ЦОС (DSP)
  • Микроконтроллеры (MCU)
    • Cредства разработки для МК
    • ARM
    • RISC-V
    • AVR
    • MSP430
    • Все остальные микроконтроллеры
    • Отладочные платы
  • Печатные платы (PCB)
    • Разрабатываем ПП в САПР - PCB development
    • Работаем с трассировкой
    • Изготовление ПП - PCB manufacturing
  • Сборка РЭУ
    • Пайка и монтаж
    • Корпуса
    • Вопросы надежности и испытаний
  • Аналоговая и цифровая техника, прикладная электроника
    • Вопросы аналоговой техники
    • Цифровые схемы, высокоскоростные ЦС
    • RF & Microwave Design
    • Метрология, датчики, измерительная техника
    • АВТО электроника
    • Умный дом
    • 3D печать
    • Робототехника
    • Ремонт и отладка
  • Силовая электроника - Power Electronics
    • Силовая Преобразовательная Техника
    • Обратная Связь, Стабилизация, Регулирование, Компенсация
    • Первичные и Вторичные Химические Источники Питания
    • Высоковольтные Устройства - High-Voltage
    • Электрические машины, Электропривод и Управление
    • Индукционный Нагрев - Induction Heating
    • Системы Охлаждения, Тепловой Расчет – Cooling Systems
    • Моделирование и Анализ Силовых Устройств – Power Supply Simulation
    • Компоненты Силовой Электроники - Parts for Power Supply Design
  • Интерфейсы
    • Форумы по интерфейсам
  • Поставщики компонентов для электроники
    • Поставщики всего остального
    • Компоненты
  • Майнеры криптовалют и их разработка, BitCoin, LightCoin, Dash, Zcash, Эфир
    • Обсуждение Майнеров, их поставки и производства
  • Дополнительные разделы - Additional sections
    • Встречи и поздравления
    • Ищу работу
    • Предлагаю работу
    • Куплю
    • Продам
    • Объявления пользователей
    • Общение заказчиков и потребителей электронных разработок

Поиск результатов в...

Поиск контента, содержащего...


Дата создания

  • Начало

    Конец


Дата обновления

  • Начало

    Конец


Фильтр по количеству...

Регистрация

  • Начало

    Конец


Группа


AIM


MSN


Сайт


ICQ


Yahoo


Jabber


Skype


Город


Код проверки


skype


Facebook


Vkontakte


LinkedIn


Twitter


G+


Одноклассники


Звание

Найдено: 0 результатов

  1. 1. Главной проблемой была некорректная работа Hierarchy Updater’а: пока он не может корректно построить дерево проекта в тех местах, где в VHDL используются внешние модули (Verilog / IP-Core), но об этом я уже писал. 2. Для некоторых может быть непривычно и неочевидно, что (в строгом соответствии с VHDL’93) при использовании entity из библиотеки Work, необходимо указывать: library work; use work.all; 3. Несколько напрягает, что все справочные материалы на китайском. 4. Немного изменилась работа с атрибутами: для VHDL необходимо использовать Syn_* атрибуты (на 2023.1 достаточно было использовать PAP_* атрибуты – тогда было меньше warning/info о преобразовании Syn_* атрибутов в PAP_*). С другой стороны в ADS_Synthesis_User_Guide.pdf добавлено очень подробное описание правил применения Syn_* атрибутов с примерами на каждый случай. Но главной проблемой был п.1, т.к. приводил к падению Compile с «internal error» без объяснения причин падения. Отмечу, что Pango Micro старается сделать PDS/ADS лучше, с каждым шагом (2022.2-SP4->2023.1->2023.2-SP1) я отмечаю существенные сдвиги в лучшую сторону.
  2. а как этот проект моделировать? У меня вообще серьезные проблемы с моделированием. Ни одно IP ядро не моделируется. Все падают с ошибками на этапе компилирования в modelsim или questasim. Судя по логам какая-то проблема с зашифрованными исходниками. Ругается на синтаксис в зашифрованном регионе. При этом в самом PDS синтезируется.
  3. Добрый день! Может кому пригодится. Разбираюсь с платой AXP100 (с PG2L100H). На моей плате вместо указанного в документации PHY KSZ9031RNX оказался установлен PHY jl2121 с последовательными сопротивлениями (33 Ом) в цепях RGMII со стороны драйверов PHY. Пробовал запустить демо проект ethernet_test не заработал, искажались полученные FPGA полубайты. Проверил на другой плате AXP100 с KSZ9031RNX демо проект работал отлично. Начал разбираться с RGMII, предположил, что у по умолчанию jl2121 нет задержки rx_clk как у KSZ9031RNX (datasheet на jl2121 мне найти не удалось), но прицепившись логическим анализатором увидел задержку, плюс к этому пробовал задерживать rx_clk на разное время уже в FPGA, не помогало, так что причина была в другом. Сами искажения полубайтов выглядели так, как будто duty cycle у rx_clk на входе FPGA не 50%, повторялись старшие полубайты. Попробовал убрать последовательные резисторы соединив выходы PHY jl2121 напрямую с FPGA как в схеме подключения PHY KSZ9031RNX, это также не дало положительного результата. Правда, после того как восстановил резисторы тип искажений изменился, появлялись все полубайты но они были перепутаны, так как будто rx_clk был задержан больше требуемого на входе FPGA. Но это уже легко вылечилось инвертированием в FPGA rx_clk. Теперь ethernet_test работает с PHY jl2121.
  4. Приветствую, коллеги. Пришлось осваивать Панго серии компакт. Сделал простенький тестовый проект с использованием встроенного в микросхему генератора (в приложении весь проект). сделал констрейны на клоки и выходы. Однако при сборке получаю критическое предупреждение: "Place-2028: CASCADED_GLOBAL_CLOCK: the driver GTP_OSC_E2_inst/gateop fixed at OSC_11_174 is unreasonable. Sub-optimal placement for a clock source and a clock buffer." Не понятно, как от этого предупреждения избавиться. Чтение доступной документации и поиск не привели даже к догадке, где искать ответ. Может конечно не все прочитал. Прошу совета, куда рыть? Ну не могу я себе позволить оставлять в проектах даже предупреждения. pangotest.zip
  5. Даташиты на английском на семейства Compact, Logos 2 и Titan от Pango Micro. Перевод не супер, но легче ориентироваться, чем в китайском даташите. Logos - уровень Spartan 6 Titan - уровень Kintex 7 XC6SLX25 FTG256 -> PGL25G FBG256 XC7A50T FGG484 -> PG2L50H FBG484 XC7A100T FGG484 -> PG2L100H FBG484 XC7K325T FBG676 -> PG2T390H FFBG676 DS03001 Compact Family CPLD Device Data Sheet 1.5.pdf DS04001_Logos2 Family FPGA Device Data Sheet .pdf DS05001 Titan2 Series FPGA Device Data Sheet 1.pdf
  6. Инженер ВКС(Москва) Обязанности: Установка оборудования видеоконференцсвязи, систем унифицированных коммуникаций, настройка интеграции с системами телефонии; Участие в проектах по развертыванию пилотов систем ВКС; Техническая поддержка систем ВКС; Контроль реализации ВКС проектов на стадии СМР и ПНР, прохождение приемо-сдаточных испытаний, проведение опытной эксплуатации; Составление предпроектной и проектной документации: ТЗ, документации для проведения пусконаладочных работ и приемо-сдаточных испытаний, исполнительной, рабочей и эксплуатационной документации для решений систем видеоконференцсвязи, средств совместной работы, систем унифицированных коммуникаций; Требования: Глубокое знание Linux систем (Debian Linux, Astra Linux) в части администрирования ОС, настройки сетевых компонентов, логирования и т.д. Понимание принципов IP-адресации, базовые знания в области организации IP-сетей и сетевых сервисов (DNS, NTP, DHCP и т.д.) Знания в области систем видеоконференцсвязи, передачи видео и голоса по IP-сетям (VoIP: SIP, H.323, WebRTC); Опыт работы с серверным оборудованием ВКС и унифицированных коммуникаций таких производителей, как Avaya, Cisco, Polycom, Huawei, Уealink, Lifesize, Pexip, Microsoft (Skype for business) приветствуется); Знание основных платформ и технологий виртуализации; Опыт разработки технической документации для проектов видеоконференцсвязи и унифицированных коммуникаций приветствуется; Что мы предлагаем: Официальное трудоустройство и оформление полностью согласно ТК РФ; Медицинская страховка (ДМС) – после испытательного срока; Страховка от несчастных случаев; Обучение и сертификация за счет средств Компании; Выходной день в честь дня рождения Контакты: Тел.: 8(929)-616-71-00 (Telegram, WhatsApp) Почта: [email protected]
  7. Всем привет! vivado 2018.3 artyx7 ac701 development kit. Столкнулся с проблемой в назначение ножек. В идеи когда при создание проекта выбирается борда вместо отделенного ПЛИСа, то Vivado в настройках ip позволяет сделать привязку к определённым в отладочной плате выводам. Как я и сделал в моём случае. Дело в том что среде ругается на отсутствие назначений ножек для axi_ethernetlite. На схеме к отладке ножек этих нет. Что делаю не так?) phy_col, phy_crs, phy_rst_n ... phy_tx_data[3:0] при этом phy_mdc, phy_mdio_i,phy_mdio_o,phy_mdio_t среда назначила нормально. Схему block design прикрепил в атач. Заранее спасибо за помощь) ethernet_lite.pdf
  8. Всем привет, пожалуйста, уточните как работать с address_span_extender? Скажу сразу, я новичок. Есть DDR 1ГБ, у которой адресная шина 15 бит. Я полагаю что 2^15 = 32Кб = 8КБ. Окей, есть еще три бита выбора банка => 2^18 = 262Кб = 32КБ. Как мне адресовать весь гиг байт? Беру адрес_спан пишу что со стороны мастера мы можем адресовать 32б (это ширина авалона), а со стороны слэйва 15 + 3 = 18б (ширина шины адреса DDR + три бита выбора банков). Теперь вопрос, как мне двигаться по окнам памяти с помощью данного адрес_спан что бы адресовать всё пространство? PS. Читал даташит, смотрел видос, но как управлять этим ip я так и не понял. Может кто объяснит по человечески. Заранее спасибо!
×
×
  • Создать...