Перейти к содержанию
    

Поиск

Показаны результаты для тегов 'active-hdl'.

  • Поиск по тегам

    Введите теги через запятую.
  • Поиск по автору

Тип контента


Форумы

  • Сайт и форум
    • Новости и обсуждения сайта и форума
    • Другие известные форумы и сайты по электронике
    • В помощь начинающему
    • International Forum
    • Образование в области электроники
    • Обучающие видео-материалы и обмен опытом
  • Cистемный уровень проектирования
    • Вопросы системного уровня проектирования
    • Математика и Физика
    • Операционные системы
    • Документация
    • Системы CAD/CAM/CAE/PLM
    • Разработка цифровых, аналоговых, аналого-цифровых ИС
    • Электробезопасность и ЭМС
    • Управление проектами
    • Нейронные сети и машинное обучение (NN/ML)
  • Программируемая логика ПЛИС (FPGA,CPLD, PLD)
    • Среды разработки - обсуждаем САПРы
    • Работаем с ПЛИС, области применения, выбор
    • Языки проектирования на ПЛИС (FPGA)
    • Системы на ПЛИС - System on a Programmable Chip (SoPC)
    • Методы и средства верификации ПЛИС/ASIC
  • Цифровая обработка сигналов - ЦОС (DSP)
    • Сигнальные процессоры и их программирование - DSP
    • Алгоритмы ЦОС (DSP)
  • Микроконтроллеры (MCU)
    • Cредства разработки для МК
    • ARM
    • RISC-V
    • AVR
    • MSP430
    • Все остальные микроконтроллеры
    • Отладочные платы
  • Печатные платы (PCB)
    • Разрабатываем ПП в САПР - PCB development
    • Работаем с трассировкой
    • Изготовление ПП - PCB manufacturing
  • Сборка РЭУ
    • Пайка и монтаж
    • Корпуса
    • Вопросы надежности и испытаний
  • Аналоговая и цифровая техника, прикладная электроника
    • Вопросы аналоговой техники
    • Цифровые схемы, высокоскоростные ЦС
    • RF & Microwave Design
    • Метрология, датчики, измерительная техника
    • АВТО электроника
    • Умный дом
    • 3D печать
    • Робототехника
    • Ремонт и отладка
  • Силовая электроника - Power Electronics
    • Силовая Преобразовательная Техника
    • Обратная Связь, Стабилизация, Регулирование, Компенсация
    • Первичные и Вторичные Химические Источники Питания
    • Высоковольтные Устройства - High-Voltage
    • Электрические машины, Электропривод и Управление
    • Индукционный Нагрев - Induction Heating
    • Системы Охлаждения, Тепловой Расчет – Cooling Systems
    • Моделирование и Анализ Силовых Устройств – Power Supply Simulation
    • Компоненты Силовой Электроники - Parts for Power Supply Design
  • Интерфейсы
    • Форумы по интерфейсам
  • Поставщики компонентов для электроники
    • Поставщики всего остального
    • Компоненты
  • Майнеры криптовалют и их разработка, BitCoin, LightCoin, Dash, Zcash, Эфир
    • Обсуждение Майнеров, их поставки и производства
  • Дополнительные разделы - Additional sections
    • Встречи и поздравления
    • Ищу работу
    • Предлагаю работу
    • Куплю
    • Продам
    • Объявления пользователей
    • Общение заказчиков и потребителей электронных разработок

Поиск результатов в...

Поиск контента, содержащего...


Дата создания

  • Начало

    Конец


Дата обновления

  • Начало

    Конец


Фильтр по количеству...

Регистрация

  • Начало

    Конец


Группа


AIM


MSN


Сайт


ICQ


Yahoo


Jabber


Skype


Город


Код проверки


skype


Facebook


Vkontakte


LinkedIn


Twitter


G+


Одноклассники


Звание

Найдено: 0 результатов

  1. Подскажите, как запустить на симуляцию несколько модулей verilog в одном waveform в active-hdl?
  2. Всем добра. Продолжаю сражаться с Active-HDL-ем поминая добрыми словами ModelSim: Хочу отобразить меандр (тактовую частоту), имеющую в модуле имя, скажем, clk, но так, чтобы название сигнала шло с gояснением, например clk - 64MHz В ModelSime было просто: add wave -label "clk - 64MHz" dut_tb/clk А в Active-HDL-е самое близкое, что нашёл для "раскрашивания" имени - это add wave -virtual "clk - 64 MHz" /dut_tb/clk При применении которого waveform становится неудобоваримого вида: а я же хочу, чтобы он оставался меандром. Как всегда, просьба ткнуть носом в нужном направлении (сегодня копался полдня: не нашёл ни в интернете, ни в хелпе) Проект в скрепке. Active-HDL wave signal.zip
  3. Всем добра! Исполняю в командной строке ModelSim-а ModelSim> if {1} {puts "111"} Получаю, как и ожидал # 111 Исполняю тоже самое в командной строке Active-HDL-а: if {1} {puts "111"} Получаю # Error: missing endif for if in line 1 Причём всякие добавления endif в виде if {1} {puts "111"} endif Дают ту же самую ошибку. ЧЯДНТ?!
  4. Всем добра! Всю свою сознательную жизнь сидел на Mentor-e, но пришла пора квалификации инструмента, для чего требуется сравнение результатов оного (ModelSim-a) с альтернативным ПО. Разумным решением представляется Aldec с его Active-HDL. Работая с ModelSim использовал его в основном в пакетном режиме, т.е. по запуску единственного командного файла, инициирующего обработку проекта, на выходе получал готовую временную диаграмму, которую потом успешно копировал в отчёт. Избаловавшись подобным подходом, захотелось применить оный и на новом инструменте, однако столкнулся с непреодолимыми (пока) для меня сложностями. Вопрос в следующем: возможно ли средствами Active-HDL проделать то же, что я проделывал с ModelSim? Есть ли у кого готовый пример этого (довольно подробный поиск в сети ничего, что дало хоть какие-то результаты, не принёс)? Для примера прилагаю свои изыскания, где я попробовал максимально подробно изложить своё видение маршрутов проектирования для упомянутых выше инструментов (для Active-HDL существует два маршрута, но ни на одном из них мне не улыбнулась удача): ЧЯДНТ? CompareDesignRoute.zip
×
×
  • Создать...