Перейти к содержанию
    

fertna18

Участник
  • Постов

    43
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о fertna18

  • Звание
    Участник
    Участник

Посетители профиля

593 просмотра профиля
  1. Я понял. Спасибо. А спектральные составляющие появляются на частоте дискретизации или близкой к ней?
  2. Здравствуйте. Объясните пожалуйста про данный режим. Из того что я нашел - я понял что в этом режиме ЦАП оставляет спектральную составляющую во второй, либо в третье зонах Найквиста. Но что я должен увидеть практически на выхходе ЦАП, работающего в этом режиме? ЦАП если что AD9739. Согласно рисунков из даташита это должна быть грубо говоря амплитудная модуляция.
  3. Прошу прощения - недавно выяснилось что на принципиалке не все элементы - честно говоря сам немного в шоке. Короче во всем по ходу был виноват щуп осцилографа. Вот что поллучилось при минимальном расстоянии между сигнальным проводом щупа и GND(скрин приложил). Самое интересное когда по цепи на нагрузочных резисторах измерял были те же всплески. По ходу щуп с одной из катушек балуна колебательныйй контур образовывали. Завтра буду искать RF-кабель. Кстати никто не подскажет где найти sma to RF для rigola c делителем желательно?
  4. Измерил я до балуна, и получилось всё тоже самое
  5. Огромное спасибо за разъяснение. Про простейшие законы физики совсем забыл. Отчасти ещё и iosifk прав оказался: Хотя по поводу совместимости полос пропускания - просто должно быть ослабление сигнала
  6. Щуп rp3500a - у него полоса по-моему 500 или 600. Осциллограф ригол ds4024. К плате подключен коаксиал - на нем и измеряю.
  7. Спасибо. Но вот в даташите приведен также пример использования этого трансформатора. Поэтому у меня есть сомнения что это из-за балуна.
  8. Плату разрабатывали другие люди. Вы выходном каскаде стоит шп- фильтр и балун. От балуна, который в референс дизайне отличается полосой пропускания. Возникающие у меня выбросы амплитуды по идее вряд ли могут быть обусловлены им, хотя на высоких частотах высоких частотах не смотрел - пока нечем. Возникают они в момент установления постоянного значения кода на входе ЦАП. Обычный синус строится нормально, а вот пила, меандр - появляются подобные выбросы, причём как положительные, так и отрицательные.
  9. Здравствуйте уважаемые форумчане. Столкнулся с одним вопросом при работе цап возникают непонятные броски примерно 30 % от полной амплитуды. кто что может подсказать, у кого есть опыт работы с этой микросхемой? Возможно надо поднастроить AD9739 по spi. Частота тактирования ЦАПа - 2400 МГц. Вот что записываю в SPI: signal reg_mem : registers :=( --####РЕГИСТРЫ ДЛЯ SET_SPI####-- 0=>x"0000", -- (1-ый шаг)настройка для четырёхпроводного интерфейса SPI --####РЕГИСТРЫ ДЛЯ ПРОГРАММНОГО СБРОСА SPI####-- 1=>x"0020", -- (2-ой шаг)сброс программный по SPI 2=>x"0000", -- (3-ий шаг)очистка бита сброса --####РЕГИСТРЫ ДЛЯ SET_CLK####-- 3=>x"220F", -- (4-ый шаг)установка синфазного сигнала для входов DACCLK 4=>x"230F", -- (5-ый шаг) --####РЕГИСТРЫ ДЛЯ SET_MU####-- 5=>x"2430", -- (6-ый шаг) 6=>x"2580", -- (7-ый шаг) 7=>x"2746", -- (8-ый шаг) -- поменял значение регистра --x"2746" для частоты тактирования 1,6-2,5 8=>x"286C", -- (9-ый шаг) 9=>x"29CB", -- (10-ый шаг) 10=>x"2602", -- (11-ый шаг) -- 2 байт должен быть ноль 11=>x"2603", -- (12-ый шаг) -- 2 байт должен быть ноль --####РЕГИСТР ДЛЯ MU_LOCK(первые восемь бит просто адрес и я не знаю нужно ли переводить в Z-состояние, пока оставил просто в ноль)####-- 12=>x"AA00", --####РЕГИСТР ДЛЯ SET_RXD####-- 13=>x"1372", -- (16-ый шаг) 14=>x"1000", -- (17-ый шаг) 15=>x"1002", -- (18-ый шаг) 16=>x"1003", -- (19-ый шаг) --####РЕГИСТР ДЛЯ RXD_LOCK####-- 17=>x"A100", -- (21-ый шаг) --####НЕОБЯЗАТЕЛЬНЫЕ РЕГИСТРЫ ДЛЯ ТОКА И РЕЖИМА####-- 18=>x"0600", 19=>x"0702", 20=>x"0800" ); AD9739.pdf
  10. Спасибо. Но как раз таки здесь я и столкнулся с проблемой. Тактирование ЦАП - DACclk=2100 MHz. На плис поступает DACclk/4. DDS - максимальная 550 MHz, поэтому 525 нормально. Но вот и как мне сделать clk/2?
  11. Я читал эту инфу - там с использованием микроблейза, а мне необходимо чисто на vhdl
  12. Уважаемые форумчане подскажите новичку. Необходимо подключить цап. Выдать на него синусоиду. ЦАП имеет две диф шины - для чётных и нечётных данных. Каким образом мне разбить поток на четные и нечётные значения?
×
×
  • Создать...