Перейти к содержанию
    

Artemius_tv

Участник
  • Постов

    35
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Artemius_tv

  • Звание
    Участник
    Участник

Информация

  • Город
    Array

Посетители профиля

1 059 просмотров профиля
  1. Зачем так много? У LPC2478 контроллер SDRAM работает на частоте ядра, т.е. не больше 72МГц.
  2. Так и не найдя более свежей документации, попробовал так. Для нулевого компаратора выставился 9-й бит в ISPR1 - т.е. сразу после ISP_EEPROM, который в документации последний. По аналогии добавил в startup вектор после EEPROM_IRQHandler и все заработало. Спасибо за подсказку. Но все же хотелось бы найти более новую нормальную документацию, неужели чип настолько непопулярен что ее нет.
  3. Компаратор LPC4078

    Всем привет! Кто нибудь пользовался компаратором в LPC4078? Разбираюсь с ним и уже обнаружил несколько проблем в документации - входы компаратора нумеруются то с 0, то с 1 (как оказалось надо с 1), перепутаны значения бита VLADREF. Про прерывания написано только в главе про компаратор, а в главе про NVIC про них и адреса их векторов ни слова. Ни в IAR, ни в MCUExpresso их векторов в таблице тоже нет. Может кто нибудь знает где их взять, или где взять User Manual версии более новой чем от марта 2014?
  4. Наверное, это ответ на Altera'вский MAX-10. Не всем нужны монстры в BGA с гигабитными трансиверами. А еще, помню, кто-то писал, что на Artix'е нельзя сделать устройство с таким малым потреблением как на Spartan'е.
  5. Если через визарда делать, то ISE 14.7 пишет "Active-HDL 8.3 or later".
  6. Если setup не сходится, то клок, если hold, то данные. У каждого пина свой элемент задержки, который только с ним можно использовать, значит экономить их смысла нет.
  7. Да Да. Что-то я тоже не нашел ничего в документации. Только совет подавать клок, от которого затактирован задерживаемый сигнал.
  8. Если не используете, то и не подключайте. Я у себя не подключаю. Кстати, в UG361 это явно написано: "implementation tools allow RDY to be unconnected/ignored".
  9. Разбираться с IDELAYCTRL особого смысла нет, у него нет настроек, он просто должен быть, если используются IODELAYE1 или подобные. На каждый регион пинов с управляемой задержкой приходится по одному IDELAYCTRL, котрый занимается калибровкой задержек. Для этого ему нужен тактовый сигнал 200МГц. Можно самому установить компоненты IDELAYCTRL для каждого региона, где используется управляемая задержка, прописав вручную LOC, а можно только один IDELAYCTRL без указания LOC, тогда ISE автоматически задействует нужные. Если его вообще не установить, то проект с использованием IODELAYE1 не соберется, ISE выдаст ошибку.
  10. Если интересно, что зачем и почему, почитайте UG361. Если нет, установите в топовом модуле один компонент: IDELAYCTRL_inst : IDELAYCTRL port map ( RDY => idelay_valid, -- выход сигнал готовности REFCLK => clk_200mhz, -- вход клок 200 МГц RST =>rst -- вход сброс );
  11. А компонент IDELAYCTRL установлен? Без него IODELAYE1 пользоваться нельзя. Ну и текст ошибки хорошо бы привести.
  12. А почему на DC-DC модуль DSN-MINI-360 (который максимум на 23В) идет ~220В?
  13. Ну, я это вариант не предлагал, а только написал свое объяснение (возможно, неточное или даже неверное :laughing: ). Кстати, в варианте: A[phase[15:8]] + (A[phase[15:8] + 1'b1] - A[phase[15:8]]) * phase[7:0] / 256 будет два обращения к памяти по адресу phase[15:8] и phase[15:8] + 1'b1, соответственно, нужно либо 2 такта, либо опять две таблицы. 4 счетчика, видимо (я опять только предполагаю), относится к самому началу темы, когда ТС спрашивал, зачем делить один счетчик на 10 маленьких.
  14. Видимо эти 2 таблицы это таблица значений и таблица коэфициентов для линейной поправки. Если берем 16 бит фазы phase[15:0], то старшие 8 бит будут использоваться для выбора значений из таблиц, а младшие 8 бит для линейной поправки. Если таблица A - значения, а B - коэфициенты, то что-то типа: A[phase[15:8]] + B[phase[15:8]]*phase[7:0]/256
  15. SerialLite посмотрите. Я с ним никогда не работал, но по описанию похоже на xilinx'овскую Aurora, на которой связь между ПЛИС'ами ораганизовывалась довольно просто без всяких процессоров.
×
×
  • Создать...