Перейти к содержанию
    

StewartLittle

Свой
  • Постов

    3 070
  • Зарегистрирован

  • Посещение

  • Победитель дней

    15

Весь контент StewartLittle


  1. Altera продлевает срок жизни своих наиболее популярных семейств ПЛИС до 2040 года !!! См. https://www.intel.com/content/www/us/en/products/details/fpga.html , на этой странице ищем параграф "Product Longevity" Или подробнее: https://community.intel.com/t5/Blogs/Products-and-Solutions/FPGA/Altera-s-Power-and-Cost-Optimized-FPGAs-and-CPLDs-Receive/post/1580520 И, вроде, не от 1-го апреля новость... Для наиболее популярных на сегодняшний день семейств: - Cyclone 10 LP - Cyclone V - Cyclone IV - Cyclone III - MAX 10 - MAX V - MAX II жизненный цикл продлевается по 2040 года. Для других семейств (в т.ч. более новых): - Agilex 7 FPGA F-Series - Agilex 7 FPGA I-Series - Stratix 10 (за исключением вариантов с памятью HBM2). - Stratix V - Stratix IV - Stratix III - Arria 10 - Arria V - Arria II - Cyclone 10 GX - Cyclone® II (это единственное "старое" семейство в данном списке) жизненный цикл продлевается до 2035 года. Чудеса какие-то творятся... Правда, альтеровцы подстилаю себе соломку - в примечаниях пишут: "Unforeseen supply disruptions such as vendor discontinuance, change in government regulations, or production tools obsolescence may impact Altera’s ability to offer the products." Т.е. непредвиденные перебои в цепочках поставок (типа прекращения поставок, изменения правительственных постановлений или устаревания производственных инструментов) могут повлиять на способность Альтеры осуществлять поставки этих продуктов. how-to-deliver-rock-solid-supply-in-a-complex-and-ever-changing-world-white-paper.pdf
  2. Lattice выпустил обновление Radiant v2023.2.1 (залито в ./upload/FPGA/_Lattice_Radiant/v2023.2.1 ) Release Notes во вложении: Radiant_2023_2_1_Release_Notes.pdf
  3. Использовать стандартный преобразователь дифференциального сигнала в TTL/CMOS. Что-то типа DS90LV012A, только на нужное дифференциальное напряжение.
  4. Спасибо, я уже нашел это файло от версии Pro 23.3
  5. В новой версии v1.9.9.02_x64 верификацию для embFlash в программере починили!
  6. HCLK тактирует триггеры в элементах ввода-вывода. А Вам нужно повышать быстродействие в массиве программируемой логики.
  7. Вы два разных CLKDIV заводите на один и тот же банк IO ?
  8. ООСА - Один Очень Старый Анекдот 🙂 Ну, это будет сродни прогулки по минному полю - а там уж как повезет... Я закажу себе плату DK_USB2.0_GW2AR-LV18QN88PC8I7_GW1NSR-LV4CMG64PC7I6_V3.0 , и если удастся выкроить кусочек свободного времени, попробую поднять на ней USB2.0 от Gowin. Но, опять-таки, там как повезет...
  9. "Но неужели пану жалко потратить на такое чудо 300 злотых?!" (с) ООСА
  10. Вышло обновление САПР Gowin EDA v1.9.9.02 Залито в ./upload/FPGA/_Gowin_/v1.9.9.02 Release Notes прилагаю: RN100-1.9.9.02E_Gowin Software Release Note.pdf
  11. HCLK - это не пин, а внутренний ресурс разводки тактового сигнала для IO. Смотрите Gowin Clock User Guide , и еще закладку HCLK Primitive Constraints во флорпланнере Gowin EDA.
  12. Плохо искали! https://www.gowinsemi.com/en/support/database/1848/ UG115-1.7.1E_GW2AR-18 Pinout.pdf
  13. Еще заметил такую штуку - в Gowin EDA v1.9.9.01_x64, под Windows, похоже, слегка поломали программер - при прошивке встроенного флэша с верификацией в GW1N/NR - всегда вываливается ошибка верификации. Наблюдается в варианте GUI (в CLI я пока не проверял). В предыдущей версии v1.9.9_x64 все работает, как ему положено. При этом, что интересно - ошибка верификации пропадает при следующей последовательности действий: - втыкаем программатор в USB; - запускаем v1.9.9.01 и прошиваем флэш в программере (и получаем ошибку верификации); - закрываем v1.9.9.01, открываем предыдущую версию (я пробовал v1.9.9), прошиваем флэш - верификация происходит штатно; - закрываем предыдущую версию, снова открываем v1.9.9.01, прошиваем флэш, и, о чудо! - верификация проходит штатно! И работает до тех пор, пока не переткнешь прорамматор в USB. После перетыкания программатора в USB - снова ошибка верификации в v1.9.9.01.
  14. Ну, тогда, поди, штатный программер Gowin работать перестанет... Похоже, элегантно моя задача не решается. А ситуация такая - есть партия GW1N-LV1LQ100C6/I5 (которые уже с производства сняты), купленная на Taobao. И там, через две микросхемы на третью, при попытке программирования штатным программером Gowin EDA выдается ошибка VLD Down. Но многие микросхемы с такой ошибкой прошиваются с помощью openFPGALoader. При этом, похоже, у них как-то "прочищаются мозги", и после прошивки openFPGALoader'ом они начинают нормально прошиваться и штатным программером (механизм того, как это происходит, мне не понятен - но что есть, то есть). Вот и хотелось иметь возможность оперативно переключаться с одного программера на другой. Но, похоже, такой фокус не пройдет...
  15. А вот такой вопрос - кто-нибудь пробовал заставить работать openFPGALoader в Win10 под WSL ? Под убунтой он у меня работает, но хочется под виндами (дабы туда-сюда не переключаться). А в WSL он запускается, но до железа достучаться не может:
  16. В пятницу сделал пробный заказ в "Электронщике" (после возобновления работы), вот только что доставили. Итого - 2 дня до СПб, доставка DPD до двери, стоимость доставки 473 рубля. Работать можно!
  17. Так, беру свои слова назад (каюсь, посыпаю голову пеплом и т.п.). Похоже там дело не в отсутствующей поддержке, там все несколько сложнее. Линии AF2 и AE2 связаны с аппаратным блоком MIPI. Возможно, эти линии не назначаются врукопашную, а задействуются автоматически при использовании в проекте аппаратного блока MIPI RX (через IP Core Generator). Надо поэкспериментировать добавлением и настройками этого блока, и посмотреть на файлы соответствующих отчетов.
  18. Корпус FPG676A ? Да, есть такое дело... Если во флорпланнере подсветить эти пины курсором, то номер IO Bank там указывается "-1". Это уже как бы намекает на то, что что-то там с поддержкой не доделано... Если в настройках отключить функцию Promote Physical Constraint Warning to Error, то вместо ошибки компилятор выдает такое же точно предупреждение, но сигнал назначает на другой доступный свободный пин. Так что, видимо, придется ждать обновления САПР, в котором поддержка GW5AST будет доделана.
  19. В VHDL процесс запускается по ЛЮБОМУ изменению ЛЮБОГО сигнала из списка чувствительности. Изменение сигналов HS_CLK_x_io должно запускать процесс? Или нет? А сигналы HS_CLK_x_i вообще нет смысла в список чувствительности включать.
  20. А я со старой учеткой вошел... Только вот с доставкой там сейчас беда... В Питер - ни пикпойнт, ни чего-либо другого подобного не предлагается. А курьера ждать - так себе...
  21. Лог с ошибками в студию! Примеров вот именно для CSI-2 RX я не видел, но другие примеры можно взять вот отсюда: https://github.com/sipeed/TangMega-138KPro-example
  22. Да, правильно. Но только не для JTAG'а, а для создания проекта для ПЛИС на этой плате. На плате Tang Nano, которая, кстати, уже снята с производства, используется ПЛИС GW1N-LV1, которая тоже уже снята с производства. Поддержка GW1N-1 есть только в стандартной версии (в эдьюкейшен версии ее нет). Поэтому для Tang Nano и требуется стандартная версия Gowin EDA. Вообще же использование Tang Nano, по нынешним временам - так себе вариант... Лучше взять какую-нибудь другую плату - Tang Nano 1K, Tang Nano 4K или Tang Nano 9K.
×
×
  • Создать...