Перейти к содержанию
    

useronforum

Участник
  • Постов

    6
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. В общем полгода изучал VHDL в бесплатных программах Altera Quartus II 13.1 и Xilinx Vivado 2013.4. Вот мои замечания о своих же вопросах о разработке схем (может кому-то эти ответы помогут потом): Если разрабатывать схему как плату на россыпных элементах, то появляются следующие проблемы: - при сложной схеме придётся делать много слоёв, - размер платы будет не маленький, - при длинной очереди обработки входного сигнала нужно учитывать задержки сигнала, наводки и т.д., - требуются знания и опыт разработки (надёжное изделие новичок не сделает). Если разрабатывать схему как заказную кремниевую микросхему: - очень высокая стоимость, так как процесс создания трафаретов, выполнение травления и др. этапы, очень не просты и требуют использования дорогого высокотехнологичного оборудования (да и не рассчитаны на малотиражное производство), - многослойная схема на полупроводниковых транзисторах на кристалле совсем не наглядная (можно посмотреть пример в программе Electric). Если разрабатывать схему как готовую программируемую логическую интегральную схему ПЛИС (FPGA): + разработка на языке высокого уровня (VHDL и т.д.) проще для программистов, + этап трассировки (разводки платы) отсутствует как таковой, + возможность отладки и доработки схемы без денежных затрат, + высокая производительность, надёжность, совместимость и т.д. Если разрабатывать схему как реализацию в виде обычного ноутбука с необходимым портом или кабелем-переходником или др. устройством для считывания необходимого сигнала, и компьютерной программы для обработки сигнала: + разработка возможна при работе с крупногабаритным оборудованием, в автономной робототехнике, при обработке информации от медицинского оборудования, совместимого с компьютерными разъёмами, - не возможно создать малогабаритное дешёвое решение, - не всегда можно купить или разработать переходник для считывания сигнала, + программа может быть написана на любом языке программирования, + возможности обработки сигнала очень широки (как у компьютера). Мои выводы о бесплатной программе, генерирующей плату с разводкой из модели на языке VHDL: Из программы на языке VHDL автоматически можно построить логическую схему на простых элементах, если программа не содержит некоторые специфические инструкции, например, циклы с изменяемыми индексами и т.д. (проверял в бесплатной версии программы Altera Quartus II 13.1) А автоматически построить саму плату с транзисторами и разводкой и визуализировать её не получается пока (так как возможна разная элементная база, разная реализация схемы, сложности автотрассировки и т.д.). Надеемся, какой-нибудь производитель электронных компонентов когда-нибудь разработает программу, которая сможет генерировать плату хотя бы на его элементной базе. === Моя тестовая программа и скриншот автоматически построенной логической схемы в бесплатной версии программы Altera Quartus II 13.1:
  2. Долго цитировать. Спрошу проще. 1) По вашему мнению логика на элементах И-НЕ устарела и не используется при проектировании устройств? 2) Язык VHDL, как я понимаю, разрабатывался как язык описания аппаратуры, а не как язык "программирования" FPGA. Неужели VHDL не нашёл применения на практике вне FPGA? 3) Существуют ли сервисы, изготовляющие интегральные схемы (СБИС) на заказ, аналогично как существует сервис для заказа плат, такой как ExpressPCB.com? Или этот процесс не сопоставимо дороже изготовления плат? 4) Есть же специальные микросхемы, которые позволяют сократить площадь, занимаемую элементами Есть микросхемы и для более сложных логических элементов. Очевидный вопрос "А есть ли программы выполняющие генерацию схемы с использованием таких микросхем" задавать не буду, так как ничего кроме "Естественно нет" думаю не услышу. Это очень близко к тому, о чём я спрашиваю. Жаль, что ничего не нахожу в интернете, чтобы почитать об этом. "Очень близко" я говорю потому, что скорее всего Xilinx ISE генерирует такую схему исходя из архитектуры её устройств, а не из принципов минимизации количества используемых элементов. Думаю, очень много чего собирают с не сложной архитектурой. Да... Ваши замечания очень важны. Очень многое прояснилось. Действительно, большая схема на логических вентилях если и будет сгенерирована какой-нибудь программой автоматически, то она скорее всего не будет учитывать задержки сигналов, то есть её нужно будет ещё дорабатывать, а без специальных знаний и знаний характеристик использованных элементов это скорее всего не возможно. То есть команда "собери эл. схему на самых распространённых элементах, об электрических характеристиках которых я не знаю" на современном уровне развития электроники пока не совсем реальна. Хотя такая же команда для программируемых устройствах реализована (программы на языках программирования разрабатываются без знания технических характеристик компьютера, на котором запущена программа) Малотиражностью изделия и желанием не нарушать права авторов лицензионных программ. Изначально хотелось не использовать FPGA. Если бы кто-то задал вопрос 20 лет назад, "Как изготовить микросхему, архитектуру которой можно будет проектировать динамически, исходя из необходимого алгоритма работы", то вы ответили бы также?! Я и хотел сгенерировать эл. схему в программе, чтобы можно было паять по ней, а не исходной модели VHDL (заменив транзистор на реальный). Не понимаю почему? Ведь если взять простую схему, то на основании даже базового учебника по электронике становится понятно, что существует преобразование схемы из логической в электрическую, ведь иначе бы не существовали электрические приборы, а были бы лишь теоретические модели. Вот набросал основные этапы (прошу не делать замечаний, так как собрал рисунок из Яндекс-картинок). Не понимаю какой из этих этапов нельзя автоматизировать и какие могут быть препятствия для этого для простых схем? Не подойдёт такой вариант. Требование (идеализированно): нужно чтобы программа по правильно работающей модели на VHDL создала электрическую схему, ЭКВИВАЛЕНТНУЮ этой модели и соответственно работающую ТАКЖЕ правильно как и модель. А если делать вручную, то можно допустить ошибки в электрической схеме, которых не было в VHDL-модели, поэтому лучше поручать это специалисту на заказ, чтобы он точно сделал правильно. А это дорого по сравнению с тем, что если бы поручили эту работу сотруднику компании (не специалисту), который бы сделал то же самое БЕСПЛАТНО по совместительству. Прошу не нервничать читающих. Просто объяснил логику мышления. То есть как, например, если нужно сделать, чтобы какая-то программа выводила уведомления в системном трее в Windows при изменении заголовка окна самой программы, то не нужно обращаться ни к разработчику программы, ни к специалисту по архитектуре операционной системы Windows, ни к программистам-профессионалам, а можно просто в Visual Studio на Visual C++, Visual Basic или в Delphi, использовать стандартный компонент TrayIcon и интерфейс обращения к другим программам через команды вида FindWindow, реализовать нужную функцию на основании нескольких статей-уроков в интернете. И не нужно для решения этой задачи учиться 5 лет или тратить деньги за заказ другим. Всё-таки на практике это возможно или нет (как говорят многие в этой теме)? Почему программы не могут заменить в реальном дизайне элементы выпускаемыми микросхемами автоматически? В чём сложность? Можно же создать шаблоны наборов микросхем, которые будут актуальны хотя бы год (до следующей версии программы). Я как умеющий программировать не понимаю этого. Если брать готовую плату, то 3D-модель и не нужна. Ведь я её не создавал. Графическое изображение платы нужно чтобы: - дать это изображение другому специалисту, чтобы он проверил (понятно, что моделирование точнее, но руководству другое важнее); - отправив его изготовителю, можно было определить стоимость изделия. Нет, это не честный вариант. Уж лучше тогда в бесплатной trial-версии платной программы всё сделать за 30 дней. Именно начитавшись "что-нибудь" в области современных САПР и возник такой вопрос. 10 лет назад задавать его я бы не стал. === Вообще я надеялся услышать ответ на свой первоначальный вопрос в виде: если у вас программа до 30 строчек кода в VHDL, вы не используете сложные математические функции (sin и т.д.) и разводка платы физически возможна в 2 слоя, то воспользуйтесь бесплатной программой ... А если более сложная модель, то вам нужна платная ..., для использования микросхем и разводки в несколько слоёв.
  3. Как узнать, есть ли в бесплатной версии Quartus II RTL viewer (лучше даже в последней v13)? Может кто-нибудь пользуется им? Нужно заняться разработкой платы, выполняющей шифрование цифрового сигнала с использованием алгоритмов не высокой сложности и криптостойкости с несколькими индикаторами. Но обязательно на языке VHDL (требование руководства). То есть теоретически можно вручную составить схему на логических вентилях, но это не нужно, а нужно именно на языке VHDL, и показать результат также в виде графической схемы готовой платы. Требований по аппаратной реализации пока нет (то есть можно использовать как прямую разводку, так и FPGA). Вот я и ищу бесплатные программы: 1) одну, которая разведёт плату на транзисторах и т.д. из VHDL-модели, например, чтобы потом теоретически можно было её изготовить через сторонний сервис, такой как ExpressPCB.com (может быть есть и более дешёвые российские сервисы) 2) вторую, которая реализует схему из VHDL-модели в FPGA (ПЛИС), чтобы реализовать на плате Altera, Xilinx или др. Это программа мне попадалась, но насколько я понял, она генерирует из схемы VHDL-модель, а мне нужно наоборот из VHDL-модели сгенерировать схему. Но может я просто не разобрался. === В общем я нашёл следующие бесплатные программы, которые связаны с VHDL: Altera Quartus II Web Edition Xilinx Vivado Design Suite WebPACK Edition Deeds (Digital Circuit Simulator) Electric Qucs (Quite Universal Circuit Simulator) Расскажите, кто знает, что могут генерировать эти программы из VHDL-модели.
  4. Можно подробнее? Для больших схем не наглядно, но для не больших схем картинка удобнее. И у VHDL есть преимущество: можно перенести модель на другую элементную базу. === Неужели никто никогда не писал модели на VHDL?
  5. Я тоже думаю, что возможно придётся использовать несколько программ. Но предполагаю, что существует бесплатная программа, которая строит хотя бы какую-нибудь схему из модели на VHDL. Почему-то в некоторых программах есть возможность экспортировать схему в формат на языке VHDL, например, в Deeds (Digital Circuit Simulator), но открыть такой файл сама программа потом не может. Серьёзные бесплатные программы тоже существуют. Например, KiCAD. VHDL - старый международный язык описания интегральных схем. Наверное когда его разработали, то и создали программы для проектирования на этом языке. Ведь какой смысл создавать программу (модель) на таком языке, если другой пользователь не сможет открыть эту модель, чтобы посмотреть её в визуальном виде, а не просто в виде кода, не разобравшись с каждой строчкой которого не возможно понять, что она делает. А на Си писать - это более высокий уровень, который требует процессор для выполнения. Для простых схем он не нужен. Vivado насколько я понимаю платная. === А какие вообще вы знаете бесплатные программы, которые могут открывать модель на языке VHDL, и строить хотя бы какую-нибудь схему (если не разводки, то хотя бы логическую на вентилях)?
  6. Здравствуйте. Подскажите, пожалуйста, существует ли бесплатная программа, которая по логической модели цифровой платы на языке VHDL генерирует саму плату с транзисторами и разводкой и визуализирует её? === Качество генерации (избыточность элементов) и используемая элементная база не важны. Из в
×
×
  • Создать...