Перейти к содержанию
    

TamRazZ

Участник
  • Постов

    34
  • Зарегистрирован

  • Посещение

Весь контент TamRazZ


  1. Вы использовали стандартный иниш стек или писали полностью свой, чтобы добиться таких скоростей.?
  2. Т.е. на Аррии без проблем поднимается Ниос.? А если есть необходимость в использовании аппаратных ядер.? Что это за проц, и какое ПО для него необходимо.? 32 Гб оперативки.? :blink: Ну на самом деле, будут переноситься проекты с нескольких ПЛИС в одну + расширение функционала от каждой из них + желание использовать аппаратный проц. Все это в совокупности и привело к подобному решению.)
  3. Да, конечно, Вы правы. Но все-таки от размеров буфера микросхемы тоже кое-что зависит. Я привел пример каких скоростей можно добиться используя ниосовский иниш стек и микруху Lan91. Хотелось бы узнать какие сейчас микрухи используются.? И возможно ли добиться бОльших скоростей, используя существующий стек и сменив микруху.?
  4. Посоветуйте современный быстрый Ethernet контроллер. До этого использовался LAN91c111 в связке с ПЛИС (cyclone3) с процессором NiOSII. Максимальная скорость обмена ПЛИС--компьютер, которой удалось достичь 15-20 Мбит/с (со стандартными драйверами под ниос). Хотелось бы приблизиться к скоростям 80-100 Мбит/с. Какую микросхему выбрать, желательно, чтобы потом не возникло проблем с поиском или написанием драйверов, ну и цена чтобы не заоблачная была...
  5. Доброго времени суток всем.! Возникла на нашем предприятии потребность у руководства осовременить и расширить функционал выпускаемой продукции. В связи с чем, было принято решении о переходе на ПЛИС семейства Arria V (конкретная линейка пока уточняется). До этого мозгом наших приборов был третий циклон. Обновление это будет проходить в рамках ОКР с уже определенным бюджетом. Поэтому возникает задача учесть все финансовые издержки, которые нам предстоят, дабы уложиться в отведенный бюджет. Т.е. на сколько я понимаю ситуацию, простой покупкой новой ПЛИС дело не обойдется. Как минимум придется покупать новое ПО, новые мегафункции и т.д. Я примерно накидал, что конкретно придется обновлять в нашем случае: 1. Новый Quartus v13 (до этого был 9) 2. Т.к. после 9 квартуса нет возможности симуляции, придется покупать лицензию на ModelSim 3. Для третьего циклона поднимался софт проц NiosII, я так понимаю в аррии его не будет, значит надо покупать новую мегафункцию под него. 4. Наверное, понадобится лицензия на новую среду разработки под новый проц.?! 5. Вместо SoPC Builder будет QSys на него нужна отдельная лицензия.? 6. Апгрейд рабочего места (новый комп с новой производительностью) Это примерный, умозрительный список предстоящих затрат, если я где-то неправ поправьте меня. Что еще я не учел.? Никогда не работал ни с чем кроме циклона третьего, поэтому даже не могу представить, что еще необходимо для этого...
  6. Ну типа того... только не понятно зачем тебе функция init_ram если ты из файла инициализируешься...
  7. Да там из файла инициализация описана только для verilog. Но если порыться можно найти описание атрибутов для синтеза памяти среди которых есть и файл инициализации Quartus II Synthesis Options -> Quartus II Integrated Synthesis -> RAM Style and ROM Style—for Inferred Memory
  8. Я использую QuartusII 9.1 и там нет шаблонов для работы с файлами...
  9. Доброго времени суток.! Подскажите, пожалуйста, как проинициализировать RAM из файла и будет ли этот код синтезируемым.? мой вариант отчего-то не работает... subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0); type memory_t is array(DEEP-1 downto 0) of word_t; function init_from_file return memory_t is variable tmp : memory_t := (others => (others => '0')); constant file_name : string := "mem_init.dat"; type file_type is file of word_t; file init_file : file_type open read_mode is file_name; begin L1: for index in 0 to DEEP - 1 loop read(init_file, tmp(index)); exit L1 when (endfile(init_file)); end loop; return tmp; end init_from_file; signal ram : memory_t := init_from_file;
×
×
  • Создать...