Перейти к содержанию
    

Екатерина Волкова

Участник
  • Постов

    8
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Екатерина Волкова

  • День рождения 27.01.1987

Информация

  • Город
    Array
  1. Вот код и testbench module bus(e1,e2,a,y); input e1,e2; inout a,y; reg a1,y1; always @(e1 or e2) begin case ({e1,e2}) 'b00: begin y1=a; a1=y; end 'b01: begin y1=a; a1='bz; end 'b10: begin y1='bz; a1=y; end 'b11: begin y1='bz; a1='bz; end endcase end assign y=y1; assign a=a1; endmodule module tb; reg y2,a2; reg e11,e22; bus b(e11,e22,a2,y2); initial begin e11='b0;e22='b1; forever begin # 20 e11=~e11; e22=~e22; end end initial begin y2='b0; a2='b1; forever begin # 2 y2=~y2; a2=~a2; end end initial #200 $finish; endmodule
  2. Спасибо. Только проблема с testbench, ругается на порт inout. Я моделирую в nc-verilog
  3. Простите за беспокойство, как описать на Verilog вот такую схему http://www.integral.by/download/2890/5584_AP6.pdf . Или как выглядит принципиальная схема. Спасибо.
  4. помогите найти принципиальную схему этого приемопередатчика
  5. Это на тему http://electronix.ru/forum/index.php?showtopic=66423 мое сообщение переместили, я новичок, можете тыкать пальцем и смеяться, но очень надо) Вобщем схема восьмиканального двунаправленного приемопередатчика с тремя состояниями
  6. Очень нужна принципиальная схема этого приемопередатчика или verilog описание, пожалуйста, помогите
×
×
  • Создать...