Перейти к содержанию
    

gosu-art

Свой
  • Постов

    565
  • Зарегистрирован

  • Посещение

Весь контент gosu-art


  1. День добрый. Возможно следует вручную установть non-volatile регистры во флешь через GFSI перед началом использования. Приходилось устанавливать следующие параметры, чтобы FPGA грузилась с ISSI флешек: - qpi mode - extended address - dummy cycles (вроде как раз с этим параметром проблемы были, но подробности уже не помню)
  2. Тут вроде похожая проблема: https://electronix.ru/forum/index.php?app=forums&module=forums&controller=topic&id=148448#comment-1656218
  3. Паял обычным феном. Просто нужно залудить дорожки и на пузо капнуть немного(!) паяльной пасты.
  4. Здравствуйте, А какой модуль используете на iMX8? Свой или покупной?
  5. Здравствуйте, Пытаюсь использовать похожую схему, но только с DDR3. Сделал как в примере этой IP - вначале выставляются read/write, а потом уже ожидается валидность waitrequest. Так же как и у вас проходит несколько операций и все зависает (смотрел только моделирование). Вам удалось проверить этот блок на реальном железе или как-то решить проблему?
  6. Остались не спаянные платы связки EP4CE22 + FT600/602. Могу отдать со схемой. Спаять можно за полдня.
  7. Я пробовал давно от независимых клоков - не работало, но, думаю, тут многое будет зависеть от материнской платы. Как-то давно уже обсуждали это https://electronix.ru/forum/index.php?app=forums&module=forums&controller=topic&id=73928&page=4 Свичи от PLX между собой работать без общего клока могут. В PCIe optics extender используется развязка. Т.е. с материнкой общий клок есть, а между двумя оптическими концами - нет.
  8. 10G SFP и LPDDR3@~750MHz. Когда ПЛИС не сконфигурирована, то потребление где-то 2Вт
  9. У нас 10СX085 с радиатором без обдува. На холодную плата потребляет 5Вт, при прогреве потребление возрастает до 6 Вт.
  10. Была такая же проблема, когда игрался с виндовым линуксом.
  11. Как раз ваш случай ;) https://rocketboards.org/foswiki/Projects/CycloneVHPSFIFO
  12. Для настройки GPIO со стороны HPS пользовался этой инструкцией: https://support.criticallink.com/redmine/boards/45/topics/4148 https://support.criticallink.com/redmine/projects/mityarm-5cs/wiki/Linux_GPIO_Chip_Mapping
  13. Для Altera Soft XAUI xgmii_tx_clk - 156.25 MHz 150 ppm. Используем LVDS 156.25 MHz 25ppm генератор. Все работает нормально.
  14. посмотрите эту тему https://electronix.ru/forum/index.php?showt...=99956&st=0
  15. Да, для Arria10 все намного лучше стало. Особенно нравится, что после генерации DDR3/4 в Qsys, пинам автоматически присваиваются все необходимые assignments (т.е. не нужно запускать для этого TCL). Однако, сам Qsys pro очень тормозной и глючный, - частенько зависает, думает и.т.д. Пробовал BluePrint чтобы прикинуть как лучше разложить пины того же самого DDR, но чето как то долго и неповоротливо. Да и не удобно, если честно. В общем не проникся этой штукой :rolleyes:
  16. А случаем биты в пределах байта там не нужно перевернуть при записи?
  17. Да, именно с МАХ10. До этого с циклонами 3/4/5 работало все нормально.
  18. У меня была точно такая же проблема - SOF заливался, POF с ошибкой (но как то раз получилось прошить). Долго мучился с версиями квартуса, более менее нормальная поддержка оказалась в 15,1, но прошиваться флешка так и не хотела. Проблема решилась заменой самодельного программатора на Altera USB Blaster (от Terasic не пробовал). Вот как-то так :laughing:
  19. Похоже что то поменялось для FPGA манагера. https://forum.rocketboards.org/t/missing-de...e0-nano-soc/526
  20. Ядро брал отсюда https://github.com/altera-opensource/linux-socfpga/releases Нужно просто добавить строчку i2c-dev в файл modules. nano /etc/modules
  21. Здравствуйте. Приходилось включать I2C и GPIO для своих нужд. До FPGA manager еще не добрался. Пока гружу прошивку из UBOOT, но вскоре с ним тоже придется разбираться. При сборке Linux (я остановился на 4.10.0) в menuconfig нужно поставить галочки на поддержке I2C и SPI. В итоге они должны появиться cat /proc/devices, однако, это не значит, что они появятся в /dev и ими можно будет пользоваться (так у меня было с I2C) Добавляем в /etc/modules i2c-dev и делаем перезагрузку. После этого в /dev появляется i2c-0. Чтобы воспользоваться GPIO , нужно было вначале найти его номер, который ему присвоил линукс. Мне лично помогло это https://support.criticallink.com/redmine/pr...IO_Chip_Mapping (тут я больше пишу для себя, чтобы не забыть ) Все это работает с моим прелодером и UBOOT, но чтобы линукс при загрузке не зависал, нужно найти правильный dtb/dts. То что генериться из .sopcinfo - полная хрень, которая не работает. Я недавно выкладывал рабочий файл в соседней теме. Посмотрите, может поможет.
  22. Я вам более скажу.. что не мало ответов на свои проблемы с dtb/dts я нашел на форуме Xilinx :rolleyes:
×
×
  • Создать...