Перейти к содержанию
    

starley

Свой
  • Постов

    204
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные starley


  1. Закончил очередной проект, готов к следующему.

    Из нового: SystemVerilog для синтеза, отладка довольно навороченных ASIC с PCIe и DDR3 - софт для ПК и прошивка с встроенным процессором для организации тестирования микросхем.

  2. Приветствую, коллеги.

    Проблема следующая. Как я понимаю, где-то после версии 17.2 в Vivado поменялся то ли ключ, то ли алгоритм шифрования исходников (*.vhdp *.vp) и мой VCS MX 2015 года их уже не кушает. Я б, конечно, с радостью на более новый перешел, но пока рабочий не попадался. Вдруг, кто-то иной вариант решения этой проблемы знает.

  3. Опять появилось свободное окно, так что кому необходимы услуги по разработке блоков или прошивок ПЛИС в целом - обращайтесь. Из нового у меня:  прокачал навыки с системами на Microblazе и всякой аналого-цифровой хренью управляемой через SPI и I2C (PLL, DDS, DAC, ADC и т.д.), высокоскоростные LVDS-интерфейсы с АЦП, слегка пощупал Zynq. Теперь работаю и с ПЛИС Intel (ex. Альтерой), и уже есть опыт разработки интерфейса PCIe с DMA для Cyclone 10 GX. 

  4. 7 hours ago, Des333 said:

    Добрый день!
    Возможно, Вас заинтересует какая-то из наших вакансий:

     

    Здравствуйте. Меня больше проектная работа интересует. Если у вас есть какая-то конкретная задача, для решения которой не хватает ресурсов штатных специалистов  - готов обсуждать. Опыт у меня большой и есть достаточно наработок. Это может вылиться в экономию для вас денег и времени, если вам окажется необходимо то, что мне уже приходилось делать, тот же PCIe, например.

  5. Спасибо всем за советы, действительно дело было в общих файлах. Модель DDR3 по умолчанию создавала свои временные файлы в /tmp с вытекающими из этого последствиями в виде общей памяти для разных экземляров теста...

  6. On 1/6/2019 at 11:06 AM, one_eight_seven said:

    Пути в переменных среды храните? Кроме путей к лицензии и PATH? Ну или прямо в окружении может быть зафиксирован какой-либо путь, куда генерируются файлы?

     

    Собственно, из путей, наверное, только это: LD_LIBRARY_PATH=.; Но, поскольку, оно на текущую папку указывает, то не должно проблем создавать. 

  7. Всем привет.

    А не сталкивался ли кто-нибудь с такой фишкой VCS - если запустить более одного экземпляра модели, то тест в модели начинает фиксировать ошибки, а если запускать только один экземпляр - все работает.

  8. ЕМНИП, там на синопсисе какая-то боль с dc-topo - нужны не db а некие tluplus файлы (или что-то в этом духе, было давно).

    Все нужно: из db берется логика и, по-видимому, задержка вентиля, из Milkyway - геометрия, tluplus, как я понял, используется для расчета задержек на роутинге.

    Вот, кстати, tluplus в этой библиотеке для бэкэнда и не оказалось (

  9. И еще добавлю. Запись в любой настроечный регистр AXI DMA, того же значения что записано в нем сейчас, приводит к прерыванию DataAbortInterrupt

    Я бы для начала на Chipscope смотрел, что в железе происходит.

    И работать на ISE 14.5 с Zynq я бы тоже не стал, больно стар он - хрен знает насколько актуальны используемые там модели этого Zynq.

     

  10. Либо нет скановых триггеров, либо они некорректно описаны..

    Нет, все нормально с библиотекой оказалось - это мой косяк с DFT был. Пока на 65 нм полет нормальный - хоть и back end, но в первом приближении синтезироваться в топографическом режиме с ним вполне возможно.

     

  11. SAEDK 28 нм ищите на ftp.

    Спасибо за наводку. Но 28 это далекое будущее, а мне пока хочется быть ближе к реальности. Да и компилятор памяти еще нужен. А в SAEDK, я так понимаю, его нет.

    В принципе, я уже смог отсинтезироваться и с back-end на 65, найдя библиотеку для синтеза в недрах Milkyway. Но вот только с добавлением scan-chain возникли проблемы из серии No scan equivalent exists for cell State_reg[0] (EDFCNQD1), что наводит на мысли, что что-то с этой библиотекой не так.

    Поэтому мне уже даже не вся библиотека на 65 нм нужна, а только нормальные *.db файлы для нее.

  12. Вот, к примеру https://www.eda.ncsu.edu/wiki/FreePDK45:Contents

    Ищите всякие free pdk, open pdk и т.д. Обычно в комплекте и стандарт селлы идут.

    Спасибо, даже не знал, что PDK нынче уже тоже open бывают ))

    Мне бы еще tsmc.

     

    P.S. Посмотрел FreePDK45. tech файл имеет явно не тот формат, который Milkyway ожидает (( Он, поди, под cadance заточен?

  13. Всем привет. Хочу поупражняться в топографическом режиме DC, а библиотек для интересующих технологий пока найти не удалось. Вдруг, кто сможет поделиться?

    Единственная нормальная библиотека в закромах только на 180 нм. Для библиотеки на 90 нет готовой базы Milkyway, и с импортом какие-то заморочки. А библиотека на 65 только для back-end и не содержит представлений для синтеза. Такая вот печаль...

  14. А как на счет PCIe, DMA, GigETH? В Vivado WebPACK они доступны?

    Скачиваете документацию на интересующее ядро и читаете раздел: Licensing and Ordering Information. Там все сказано. Какой-то Ethernet, помнится, лиценцию требовал. Навскидку открыл 1G/2.5G Ethernet Subsystem - там: "To use the AXI Ethernet Subsystem, a AXI TEMAC license must be purchased". Про Tri-mode Ethernet Subsystem посмотрите сами.

    Кроме этого, на этапе выбора рекомендую учитывать наличие и состояниее драйверов для ядер. потому как это тоже сильно влияет на скорость достижения целей проекта.

  15. Я смотрел 1 и 2 Гбит/с - нормально все видно. Основное назначение таких измерений на ПЛИСах обычно состоит в том, чтобы убедиться, что с входной/выходной сигнал имеет достойный вид. В противном случае, можно очень долго безуспешно ковырять настройки трансивера, вместо того, чтобы сразу увидеть источник проблем. Кстати, эквализацию можно включать и на осциллографе, если предполагается, что работа идет на пределе возможностей канала. В общем, разумный подход, на мой взгляд, сначала оценить качество сигнала с помощью осциллографа, а потом уже подбирать параметры с помощью IBERT.

    Кроме этого, много полезной информации можно получить, выдавая ступень с выхода MGT (например, подавая на выход последовательность 1111100000).

    Ну и отдельная история - это ASIC с трансиверами. Там уже осциллограф - лучший друг ))

  16. Увеличение количества триггеров приведет к резкому увеличению используемых ресурсов.

    В ASIC - да, а в ПЛИСе они и так есть, поэтому какой смысл их экономить? Если LUT уже задействован, то триггер под другие сигналы особо уже не используешь, поэтому отказываться от них из экономии в большинстве случаев неразумно. На выходах памяти, предполагающей длинные линии, я обычно ставлю регистры, как раз чтобы потом херней с ее приколачиванием не страдать.Если частоты уж совсем большие, тогда, конечно, только флорпленить остается.

  17. Интересует тема, что значит "получше отладить"? Свою часть? На совместимость? Или PCI-E ядро производителя? Разве производитель не верифицировал PCI-E ядро по самые помидоры? Устройство для ответственных применений?

     

    PCI Express у нас свой, работает уже давно, но на ПЛИС и на конкретных аппаратных платформах. Из ресурсов ПЛИС, понятное дело, используем только трансиверы. Сейчас планируем сделать ASIC, поэтому хотим проверить получше всякие мелочи, вроде обработки ошибочных обращений, тонкостей конфигурации, управления питанием и прочей лабуды. На первом этапе надо проверить все, что выше уровня serdes и лучше бы c Verification Core. На втором, когда будет готов ASIC, физический уровень.

     

    Мы используем Teledyne/Lecroy Summit T28.

     

    Но вы, судя по подписи, не в России работаете?

  18. Всем привет.

     

    Возникла необходимость поотлаживать PCI Express получше, чем до простой работоспособности. В связи с этим вопросы:

    - Использовал кто-нибудь соответствующие Verification IP? Интересуют конкретные модели, отзывы, возможность достать/купить.

    - Есть ли у кого-нибудь промышленные стенды для верификации и отладки?

  19. Общепринятая практика для гарантированного завершения записей - выполнить чтение хотя бы одного слова из того устройства, куда была запись. Это заставит все промежуточные мосты завершить все застявшие в их буферах записи перед выполнением этого чтения.

×
×
  • Создать...