Перейти к содержанию
    

eugenk

Участник
  • Постов

    52
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о eugenk

  • Звание
    Участник
    Участник
  • День рождения 08.07.1961

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 097 просмотров профиля
  1. Тоже решил идти этим путем. Выложить проект это не вариант. Не потому что он какой-то суперсекретный, просто там сотня с лишним килобайт кода на верилоге (это некий 36-битный процессор), кто это будет смотреть ! Пока решил разобраться с латчями. Я получил кучу предупреждений, что из-за неполноты case или if сгерерирован латч. Сейчас написал на яве утилитку, читающую интерфейс модуля и раскидывающую его в ucf по всем ногам корпуса. Попробую синтезировать компоненты по отдельности и посмотреть что с ними происходит. А потом по возможности удалить латчи. А то сейчас из-за этого синтезируется совсем не то что я себе представлял, и я не очень понимаю что именно. Уже проверил. Скачал 13.3. То же самое. Так что проблема в проекте.
  2. Да, это тоже смутило. Смотрел. Оно во-первых с триггерами связано. Более того, клок вообще нигде не используется как комбинационный сигнал, и активный фронт везде положительный. Цепь синхронизации у меня всегда называется одинаково - clk. Либо clk_0, clk_1, clk_2 и т.п, если проект многодоменный. Тоже на всякий случай проверил. Всё в порядке. Вобщем непонятка полная. Думаю сейчас скачать более старую версию и попробовать собрать на ней. Возможно баг самого ISE.
  3. Приветствую многоуважаемых инженеров ! Дико извиняюсь, возможно проблема чайнецкая, но что-то никак не могу справиться сам. Есть несложный проект на Spartan-6 (более точно XC6SLX16), под ISE 14.7 (linux) Никаких PLL, дополнительных клоковых доменов и т.п. Начиналось просто с моргания светодиодами через UART реализованный на плисине. Дальше туда просто докидывался код, отлаживаемый на симуляторе. Так вот. Когда всё только начиналось, я прописал там два временнЫх констрейна, даже особо не вдаваясь в подробности, что оно означает (взял из демки к отладочной плате): NET "clk" LOC = T8 | TNM_NET = sys_clk_pin; TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 50000 kHz; С этим всё прекрасно собиралось и работало. Сегодня, когда я захотел залить в железо отлаженный код, произошло следующее: WARNING:ConstraintSystem:137 - Constraint <TNM_NET = sys_clk_pin;> [src/prog_test.ucf(1)]: No appropriate instances for the TNM constraint are driven by "clk". WARNING:ConstraintSystem:191 - The TNM 'sys_clk_pin', does not directly or indirectly drive any flip-flops, latches and/or RAMS and cannot be actively used by the referencing Period constraint 'TS_sys_clk_pin'. WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: <TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 50000 kHz;> [src/prog_test.ucf(2)] После чего проект был обрезан в ноль. Сначала я подумал, что забыл подключить выходы к ногам. Проверил - нет, не забыл. Но результат неизменен. Поглядел по англоязычным форумам. Проблема имеет место быть и у них. Но вразумительных и информативных ответов нет. Никто не подскажет как с этим бороться ??? Впервые с FPGA ловлю такой прикол ! Правда со Spartan-6 никогда не работал, да и вообще последний раз имел дело с Xilinx лет 20 назад. Заранее благодарен добрым людям ! Евгений.
  4. Прошу прощения, действительно отладочная :)))) Посмотрел. Дороговато. Самый дешевый в чипе и дипе 1100 рублей. Ребят, прошу прощения, а на транзисторах что-нибудь не подскажите ? Операционники на такие параметры что-то сильно дорогие.
  5. Смотрел уже. Закладывался на 1 милливатт на квадратный сантиметр на датчике. По даташиту это 50 микроампер. Если как тут предлагали, взять 10 ом, то это будет 50 микровольт. Маловато... К сожалению тот же OPA858 это 12970 рублей https://www.chipdip.ru/product1/8002348102 . А можно что-то попроще, на транзисторах ?
  6. Честно говоря не уверен я что их будет так уж сильно много, скорее надеюсь на это. Там лазер. По даташиту 3 милливатта. Значит в импульсе при 50% скважности (там просто передается цифра) - 6. Луч узкий. Так что засветит фактически в упор. Вобщем надеюсь милливатт на квадратный сантиметр там наберётся. Но в точности увы, тоже не знаю. Пока прежде чем бежать закупаться, хочу немного изучить этот вопрос.
  7. Народ, приветствую ! Извиняюсь тема наверно старая и поднадоевшая, но что-то я не смогаю сам :) Вобщем нужен усилитель для фотодиода с полосой от 20 до 150 (а желательно 200) мегагерц. Выход цифровой (ловить надо просто импульсы). Важное ограничение - питание. Крайне желательно уложиться в 3.3V. Максимум 5. Очень хорошо если получится миниатюрный и дешевый (будет монтироваться прямо на плату с фотодиодом и таких датчиков будет много). Поэтому наверно делать надо на транзисторах (ОУ и дороже и требуют питания всё-таки повыше). Усиление особое не требуется. Это работает фактически при постоянной подсветке передающим импульсы лазером. Не подскажете люди добрые, хотя бы в какую сторону смотреть ? Сейчас пытаюсь смоделировать на MicroCap, что-то пока не очень. Фотодиод правда хреноватый для подобных целей - BPW34. Понятно что от них придется уходить, но сейчас применяются они (4 мегагерца) потому и взяты в качестве начального приближения. Что подскажете ? С уважением Евгений.
  8. Спасибо ! В этом и было дело ! Я давал 100 добавочных (по даташиту вроде как надо 49). Сейчас выставил 1000. И всё завелось. Хрен его знает с чем это связано... Я замучался уже этот баг искать :)))
  9. Народ, помогите с ice40 ! Проблема следующая. Есть плата с микроконтроллером на которой стоит ice40hx8k в корпусе cb132 Пытаюсь её прошить по SPI. Всё прошивается нормально, нога CDONE встаёт в единицу. Но прошивка не работает ! Пробую простейшее, подать 0 на ногу микроконтроллера и прочитать его. Ноль эффекта. Проверял пытался сделать это и с фирменной iceCube2, версиями и под винду и под линукс (виндовая версия у меня глючила по прошлому опыту работы с этим семейством) и пакетом iceStorm (в двух вариантах, с трассировщиками arachne-pnr и nextpnr. Ничего не помогает ! Прошивка простейшая, ошибиться невозможно //верилог module test( output v ); assign v=0; endmodule #pcf set_io v M11 #----скрипт с arachne-pnr #!/bin/sh yosys -p "synth_ice40 -blif test_arac.blif" test.v arachne-pnr -d 8k -P cb132 -p test.pcf test_arac.blif -o test_arac.asc icepack test_arac.asc test_arac.bin #-----скрипт nextpnr #!/bin/sh yosys -p "synth_ice40 -top test -json text_next.json" test.v nextpnr-ice40 --hx8k --package cb132 --json test_next.json --pcf test.pcf --asc test_next.asc icepack text_next.asc text_next.bin При этом есть отладочная плата ce40-hx8 breakout board на которой стоит та же самая ice40hx8k, но только в корпусе ct256. Для неё все великолепно работают любые тесты, кроме версии iceCube2 под винду ! Такое впечатление, что трассировщик под корпус cb132 просто путает ноги. Не подскажите что с этим делать ? Я уже просто отчаялся. С уважением Евгений.
  10. Сергей, мне там увы, полные возможности FTDI недоступны. Там по одному каналу шьется FPGA, другой виден как виртуальный СОМ-порт, выведенный на FPGA и следовательно позволяющий ей управлять. А я там поглядел и что-то у меня появились сомнения, что СОМ-порт там вообще поддерживается. Не знаю, погляжу ещё а там возможно просто куплю адаптер.
  11. Приветствую многоуважаемый all ! Проблема следующая. Хочу подцепить к esp32 отладку через OpenOCD. Адаптера jtag у меня нет. Но есть платка ice40-hx8k breakout board с FTDI и FPGA на борту . Она позволяет шить FPGA и соответственно как угодно дрыгать ногами, плюс видна как /dev/ttyUSB. Соответственно вопрос, можно ли настроить OpenOCD так, чтобы он с этой платкой дружил ? Я что-то вообще ничего не понял, как там конфигурятся адаптеры. Такое впечатление что вообще всё зашито в коде на С. Не понятно даже поддерживается ли там связь через СОМ-порт, или требуется полный протокол FTDI. Не подскажете, можно ли подобное сотворить, и если можно то как ? С наступающим всех !
  12. Ему лень это будет читать. Кроме того нужно будет сказать о том что я нашел сам (а тут тоже немало). Вобщем похоже девайс на 160 градусов и правда можно сделать не за совсем уж убойный прайс. На 200 уже более проблематично. А ссылку разумеется тоже дам :)
  13. Не знаю оффтоп или не оффтоп, но что скажут благородные доны про проект IceStorm http://www.clifford.at/icestorm/ ? Для ice40 у меня был один крупный проект и с него осталась отладочная платочка ice40-hx8k breakout board которая сейчас стала самой любимой зверюшкой в моём зоопарке. Исключительно удобная вещь для множества дел. Тот проект я делал на официальном софте iceCube2. Но где-то года полтора назад нашел IceStorm. Он мне дико понравился. Меньше 150 мегабайт на диске. Очень быстрый. А программатор просто песня. Если родной diamond часто глючит, то iceprog никогда. К сожалению крупных проектов у меня с тех пор не было. Так, мелочевка. Но на мелочевке всё работает превосходно. И я советую этот пакет всем знакомым. Но раз уж САПР от Lattice тут вынесли в отдельную тему, хочу спросить. Народ, кто-нибудь делал крупные проекты на IceStorm ? Какие впечатления в сравнении с официальным iceCube ?
  14. Народ, огромное всем спасибо ! Даже не думал что тут подкинут столько ценной инфы ! Сейчас буду отписывать мужику письмо :)
×
×
  • Создать...