Перейти к содержанию
    

_Ivan_

Свой
  • Постов

    617
  • Зарегистрирован

  • Посещение

Весь контент _Ivan_


  1. без конденсатора не моргает... имелось ввиду, что максимальное значение напряжение после диода равно 310 вольт, но так как там если взять 1 период синусоиды, то после диода останется только положительная полуволна и соответственно коэффициент формы будет маленький и поэтому получится 100 вольт постоянки
  2. в музее остался последний экземпляр :laugh:
  3. быстренько собрал на макетке - зажег... сопротивление 100кОм, диод 1n5061 с 2 амперами и 600 вольтами обратного напряжения... работает! спасибо, посмотрю!! даже померил... на лампе падает 60 вольт а на всей схеме 100, что согласуется с теорией 0,45*220 вольт
  4. ну у нас в сети 220 вольт переменки после диода будет 220*1,41=310 вольт постоянки, затем резисторный делитель делаем... в плече нижнем наша лампа - на ней падает 110 вольт остается 200 вольт лампа кушает 1,5 мА - то есть сопротивление согласно закону Ома получается 133 кОм - ну округлим до 150 кОм дальше мощность его 0,3 Вт - берем с запасом - 1 Вт... так, а конденсатор нужен вообще или нет? спасибо за ответы!!!
  5. добрый вечер. хочу собрать часы на ин-17. Понимаю, что это изъезженная тема поколения советских радиолюбителей, но что то меня в этих лампах торкает. так вот вопрос вот ссылка на характеристики http://www.radiolamp.ru/sprav/ind/in17.html в ней есть 2 значения - напряжение зажигания - после которого появляется тлеющий разряд - меньше или равно 170 вольт и напряжение горения - 105 вольт... коммутироваться разряды будут с помощью полевика IRF830 от сети... я хочу поставить диодик, а потом резисторный делитель... а для какого напряжения мне его считать?? или есть более элегантные схемы зажигания? цифровую часть сделать хочу на стм8 и 74hc595
  6. если человек пишет, что ему надо сделать курсовую, то об электронике он уже должен знать не по наслышке... еще странность заключается в том, что сейчас идет сессия и количество таких объявлений возрасло... а курсовики обычно дают в течении семестра... за 1-2 месяца реально собрать курсовик, задавая на форуме тупейшие вопросы, на которые потихоньку народ будет отвечать и потихоньку курсовик будет делаться... нечего поощрять лодырей целым подфорумом! пришел учиться - будь добр выполняй учебный план, иначе в армию!
  7. имхо раздел будет непопулярен среди спецов, ибо у них ограничено время... а расжевывать мелочи порой угнетает... когда то сделали раздел для начинающих, с тех пор туда относительно мало спецов заходит... а для студентов думаю будет еще меньше...
  8. arm-usb-ocd продаю

    писать сюда или в личку. возможна пересылка за Ваш счет. в комплекте сам отладчик, провод питания и шлейф. цена обсуждаема.
  9. мультиплексор, демультиплексор и микроконтроллер с преобразователем уровней?
  10. вот код, на него ругается матом компилятор, причем пишет вот что то типа такого выход из этого видимо один - переписать код... но получается порой то же самое... методом проб и ошибок понял 1 вещь - 1 переменную можно использовать только в 1 блоке always... а какие есть еще рекомендации? и как можно переписать код? `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 10:18:26 11/26/2011 // Design Name: // Module Name: blink // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module fsm( input clk, input button, input reset, output [7:0] leds ); localparam [1:0] idle = 2'b00, wait_a = 2'b01, ok = 2'b10, wait_b = 2'b11; reg [7:0] leds_var_next; reg [7:0] leds_var; reg [1:0] state_reg; reg [1:0] state_next; reg [23:0] counter_reg; reg button_var; reg reset_var; always @(posedge clk_cmt) begin button_var <= button; reset_var <= reset; end always @(posedge clk_cmt) begin if (reset_var) begin state_reg <= idle; end else begin state_reg <= state_next; end end always @* begin case(state_reg) idle : begin if(button_var==1'b1) begin state_next <= wait_a; end end wait_a: begin if(counter_reg >= 20000000) begin counter_reg = 24'b0; state_next <= ok; end else begin counter_reg = counter_reg + 1'b1; end end ok: if(button_var==1'b0) state_next <= wait_b; wait_b: begin if(counter_reg >= 20000000) begin counter_reg = 24'b0; state_next <= idle; leds_var = leds_var +1; end else begin counter_reg = counter_reg + 1'b1; end end default: state_next <= idle; endcase end assign leds = leds_var; cmt instance_name (// Clock in ports .CLK_IN1(clk), // IN // Clock out ports .CLK_OUT1(clk_cmt), // OUT // Status and control signals .LOCKED(LOCKED)); endmodule
  11. Добрый день. По курсовому проектированию была дана одна задача и нужно это сделать все в Altium Designer. Схему я собрал, тестбенч на верилоге чуть чуть написал, а симулировать не хочет... сначала была ошибка, мол в тестбенче была смесь верилога и вхдл - в свойствах проекта я это убрал теперь же даже вылазит симулятор в окне, но симуляция не начинается, ибо просит какой то Testsheet в чем проблема? проект в аттаче nirs.rar
  12. вроде бы тов. katarsis как-то имел дело с ними.
  13. а Вы считаете, что в каждом новом проекте должна быть какая-то степень новизны для разработчика? как студент выскажу мнение... Ребята, будьте санитарами леса, вырезайте гниль из наших рядов...просто сейчас в россии вузу дают деньги пропорционально количеству студентов... и по крайней мере в мифи устраивают по 20 пересдач, чтобы как то вытянуть всех этих лоботрясов... причем 31 августа официально закончился весенний семестр, а у нас устроили пересдачи в 20 числах сентября... еще один плюс состоит в том, что при отчислении лоботрясов группа будет умнее, преподаватели будут разбирать с нами более сложные задачи и поэтому мы станем умнее... вот...
  14. как нынешний студент говорю: не надо удалять... чем меньше он знает, тем больше я стою на рынке труда!
  15. на амазон.ком за 42 бакса продается книга по кортекс-м3 есть у нас издательство додека - так вот они обещали книгу по lpc17xx сначала вроде в апреле, потом перенесли на август, а сейчас на октябрь... вообщем ближе к чипэкспо они ее выдадут...
  16. не компилится... ;(

    собственно хочу помигать светодиодиком на stm32f100rb #include "stm32f10x.h" #include "stm32f10x_gpio.h" GPIO_InitTypeDef GPIO_InitStructure; void delay (int n) { int i; for (i=0; i<=n; i++); } int main(void) { GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_2MHz; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; GPIO_Init(GPIOC, &GPIO_InitStructure); while(1) { GPIOC->BSRR|=(1<<8); delay(65000); GPIOC->BRR|=(1<<8); delay(65000); }; return 0; } не компилилось - столкнулся с assert_param - необъявленной функцией в этой библе... решил ее, добавив в настройках предпроцессора компилятора дефайн asert_param теперь выдает это: **** Build of configuration Debug for project stm32_copter **** make all Building file: ../main.c Invoking: ARM Sourcery Linux GCC C Compiler arm-none-eabi-gcc -Dassert_param -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/CMSIS/CM3/CoreSupport -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/CMSIS/CM3/DeviceSupport/ST/STM32F10x -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/STM32F10x_StdPeriph_Driver/inc -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/STM32F10x_StdPeriph_Driver/src -O0 -Wall -Wa,-adhlns="main.o.lst" -c -fmessage-length=0 -MMD -MP -MF"main.d" -MT"main.d" -mcpu=cortex-m3 -mthumb -g -ggdb -o"main.o" "../main.c" Finished building: ../main.c Building file: ../stm32f10x_gpio.c Invoking: ARM Sourcery Linux GCC C Compiler arm-none-eabi-gcc -Dassert_param -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/CMSIS/CM3/CoreSupport -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/CMSIS/CM3/DeviceSupport/ST/STM32F10x -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/STM32F10x_StdPeriph_Driver/inc -I/home/brainiac/STM32F10x_StdPeriph_Lib_V3.5.0/Libraries/STM32F10x_StdPeriph_Driver/src -O0 -Wall -Wa,-adhlns="stm32f10x_gpio.o.lst" -c -fmessage-length=0 -MMD -MP -MF"stm32f10x_gpio.d" -MT"stm32f10x_gpio.d" -mcpu=cortex-m3 -mthumb -g -ggdb -o"stm32f10x_gpio.o" "../stm32f10x_gpio.c" ../stm32f10x_gpio.c: In function 'GPIO_DeInit': ../stm32f10x_gpio.c:111:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_Init': ../stm32f10x_gpio.c:178:15: error: called object '1' is not a function ../stm32f10x_gpio.c:179:15: error: called object '1' is not a function ../stm32f10x_gpio.c:180:15: error: called object '1' is not a function ../stm32f10x_gpio.c:187:17: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ReadInputDataBit': ../stm32f10x_gpio.c:286:15: error: called object '1' is not a function ../stm32f10x_gpio.c:287:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ReadInputData': ../stm32f10x_gpio.c:308:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ReadOutputDataBit': ../stm32f10x_gpio.c:324:15: error: called object '1' is not a function ../stm32f10x_gpio.c:325:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ReadOutputData': ../stm32f10x_gpio.c:346:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_SetBits': ../stm32f10x_gpio.c:361:15: error: called object '1' is not a function ../stm32f10x_gpio.c:362:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ResetBits': ../stm32f10x_gpio.c:377:15: error: called object '1' is not a function ../stm32f10x_gpio.c:378:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_WriteBit': ../stm32f10x_gpio.c:397:15: error: called object '1' is not a function ../stm32f10x_gpio.c:398:15: error: called object '1' is not a function ../stm32f10x_gpio.c:399:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_Write': ../stm32f10x_gpio.c:420:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_PinLockConfig': ../stm32f10x_gpio.c:437:15: error: called object '1' is not a function ../stm32f10x_gpio.c:438:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_EventOutputConfig': ../stm32f10x_gpio.c:466:15: error: called object '1' is not a function ../stm32f10x_gpio.c:467:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_EventOutputCmd': ../stm32f10x_gpio.c:486:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_PinRemapConfig': ../stm32f10x_gpio.c:554:15: error: called object '1' is not a function ../stm32f10x_gpio.c:555:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_EXTILineConfig': ../stm32f10x_gpio.c:613:15: error: called object '1' is not a function ../stm32f10x_gpio.c:614:15: error: called object '1' is not a function ../stm32f10x_gpio.c: In function 'GPIO_ETH_MediaInterfaceConfig': ../stm32f10x_gpio.c:632:15: error: called object '1' is not a function make: *** [stm32f10x_gpio.o] Ошибка 1 что делать?
  17. а каково процентное соотношение между лутить паять и разводить и прогать в плане времени? т.е. интересует соотношение работ руками и головой
  18. я так понимаю, что тут без оформления?
  19. а по какому закону серва двигается то? верней это просто вращение туда-сюда и с регулировкой рамок вращения и скорости?
  20. да ладно бы еще мелочевка... но терра - это пожалуй единственное место, где можно купить все в еденичных экземпляров, за короткий срок и за хорошую цену... теперь, последнее отпадает, хотя было самым главным... если вы ориентируетесь на разработчиков - зачем делать вкусные цены для мелкооптовых покупок??? или вы думаете, что во время разработки человек сожжет 30 микросхем и купив 50 ему будет нормально?
  21. IRFD320 по 42 рубля от 1 штуки до 50... по 16 рублей от 50 штук... блин... становится очень печально...
  22. а в терраэлектронике есть terraelectronica.ru
  23. что то у вас цены поднялись розничные... хотите отсечь радиогубителей?
×
×
  • Создать...