Перейти к содержанию
    

Shivers

Свой
  • Постов

    676
  • Зарегистрирован

  • Посещение

Весь контент Shivers


  1. Так а в чем проблема, если тайминг нормальный? Все группы и деревья, которые создает CCOPT, можно редактировать/удалять/создавать новые. Не доверяете машине - делайте свои спецификации. Или на крайняк в инновусе до сих пор остается поддержка CKsynthesis
  2. Если "путь к приемнику меньше чем к источнику" значит в этом пути холд нарушен. Сделайте репорт по холду и по сетапу. Если по сетапу есть запас, то холд лечится. Если запаса нет, то холд лечится только в ущерб сетапу. p.s. немного базовых вещей про сетап и холд https://habrahabr.ru/post/302806/
  3. У Вас Caps залип? CCOPT по всей видимости посчитал, что путь между регистрами больше требуемого периода, отсюда и skew. Це не баг, а фича. Если не нравится, запретите вообще скьюить клок setOptMode -usefulSkewCCOpt none
  4. Очень интересный вопрос кстати, по использованию SV и интерфейсов - исключительно удобно для дизайна! Если бы не одно НО. Когда проектируется эсик, то уже после закачки RTL в тул синтеза (DC/Genus), на дженерик уровне все эти интерфейсы флатуются, преобразовывая имена во что то неудобоваримое. Результирующий нетлист (verilog де-факто) так же содержит только расфлатованные интерфейсы, в которых тяжело найти исходные сигналы. Получается, кодить то на SV - красивее и проще, а вот писать констрейнты и, главное, дебажить потом - боль жуткая. Агитирую коллег поменьше увлекаться SV, особенно на верхнем уровне иерархии. Ситуация изменится только когда пост-синтез/пост-лейаут нетлист можно будет сохранять в формате SV. Подозреваю, будет это не скоро.
  5. 1. Необязательно. Есть три варианта: А) первый клок входной, второй берется с PLL В) Оба клока берутся с PLL С) Первый клок с PLL, а второй получен делением из первого клока. 2. Клоки должны быть синхронными, тогда не нужны синхронизаторы. Во всех трех вариантах выше клоки синхронны. 3. На мой взгляд, констрейнить проще всего вариант С, поэтому вариант С тул скорее всего распознает самостоятельно.
  6. АМД оборудование, это Ангстрем-Т, а не Ангстрем. Его пытаются на 250нм запустить, но вроде ни одного чипа пока нет
  7. Дело в том, что микроэлектроника в СССР и РФ всегда была убыточна и всегда дотировалась государством. Покупались заводы, технологии, но ничего из этого не приживалось; своего как 50 лет назад не было, так и не появилось до сих пор. Причина в том, что микроэлектроника должна сама приносить прибыль, только в этом случае она начнет и сама развиваться. А сейчас это совершенно эфемерное, искусственное образование, поэтому и платить специалистам никто не хочет. Если отрасль развить, будут и зарплаты. Но на данный момент никаких перспектив развития микроэлектроники в РФ нет - жесточайшая конкуренция на мировом рынке, санкции, 20ти летнее отставание в технологиях. Самое страшное наверное санкции - сделать производство по полному циклу (включая производство всего оборудования и расходников) на территории одной страны сейчас стало слишком сложно и дорого. Поэтому перспектив на ближайшие лет 10-20 нет никаких: нет потребности в специалистах - неоткуда и зарплатам взяться.
  8. В общих чертах. Самый главный констрейнт - объявление клока. Он автоматически накладывает ограничения на все триггеры, тактируемые этим клоком. Если есть внешний интерфейс микросхемы, который либо драйверится триггерами на данном клоке, либо принимается этими триггерами, то этот интерфейс надо констрейнить относительно данного клока с помощью set_input_delay/set_output_delay с ключами min/max. Таким образом констрейните один клоковый домен (250), так же констрейните второй клоковый домен (210). Поскольку клоки асинхронные, пути между ними (из домена 250 в домен 210 и наоборот, т.е. в обе стороны) будут нарушаться, и их надо исключить из анализа. Делается это через команды set_false_path -from clk250 -to clk210 и set_false_path -from clk210 -to clk250.
  9. Получается два асинхронных клоковых домена: частота PCI-E PHY и регистров (250 МГц), и частота 210 МГц для обработки. Фифо нужно пересинхронизационное, сигналы управления из регистров тоже надо пересинхронизировать - пропустить через два D-триггера на частоте 210. Между обоими клоками ставить фазпасы в обе стороны. Что касается домена 210 МГц и сигнала datavalid, то на мой взгляд, констрейнить здесь какое то понижение частоты нельзя. Может быть, символьная скорость и снижается, но времена сетап/холд приемных триггеров этих символов, работающих (как я понял) тоже на 210 МГц, никто не отменял.
  10. Т.е., как я понял, у Вас входной интерфейс - состоит из шины данных, сигнала сопровождения СЕ и тактируется 100 МГц. И как я понял, сам проект тактируется clkRD? Смотрите что получается. 1. Входной интерфейс надо обязательно констрейнить по его частоте, ничего сокращать нельзя. Это нужно, чтобы выровнять задержки входной шины данных, чтобы они сильно не расползались во времени. И частота сигнала СЕ здесь никакой роли не играет -сигнал СЕ тоже нужно констренить относительно 100МГц. 2. Вам надо разобраться, что делать с этим потоком данных. Логичное решение - на вход интерфейса ставить триггеры, работающие на 100Мгц, с разрешением записи по сигналу СЕ - теперь их данные будут меняться уже с периодичностью изменения сигнала СЕ, т.е. частоту потока данных Вы понизили, хотя эти данные все равно остаются синхронными 100 МГц. 3. Надо понять, что делать с этим потоком данных, от какого генератора будут тактироваться триггеры дальше по схеме. Если по клоку clkRD, то ставите пересинхронизационное фифо, получаете поток данных, синхронный clkRD, и делаете с этим потоком что захотите. При этом, между clkRD и 100Мгц - фалзпасы в обе стороны, поскольку это асинхронные частоты, как я понял (т.е. clkRD не получается делением из 100МГц). Это бы Вы делали эсик, а не ПЛИС, то к фалзпасам надо было бы добавить асинхронный констрейнт set_max_delay в обе стороны, чтобы тул совсем уж не бросал эти пути сигналов.
  11. И что, 90нм заработало во всех углах? По моим наблюдениям, у Микрона выход годных даже на 90нм все еще коррелирует с фазой луны и графиком прохождения товарняков по ОЖД.
  12. Правила такие: констрейнить надо всегда по максимальной частоте, даже если в реальной схеме сигнал сопровождения возникает раз в тысячу тактов. После этого, надо смотреть связи между триггерами: если есть триггер А, выход которого поступает на триггер Б гарантированно через 2 и более тактов (но никогда в следующем такте), то на этот путь накладывается малтисайкл. Но все остальные пути (которые могут придти в следующем такте) должны анализироваться без малтисайкла. Представить себе ситуацию, когда в схеме выходы ВСЕХ триггеров приходят на приемники через 2 и более такта, я не могу, потому что это будет означать, что дизайн написан по-лоховски, ведь опорный клок надо было делить еще на входе, до реальной частоты схемы. Т.е. если у топикстартера реальная частота схемы 50 МГц, а опорная частота 200, значит надо делить частоту на 4, а потом уже заводить в схему. И констрейнты тогда надо писать относительно клока 50 МГц, который объявить как генерейтед от 200 МГц. Еще один момент. У топикстартера в первом посте какая то каша с клоками. Если в проекте используются две частоты clkA и clkB, где одна не получается из другой делением (т.е. оба генератора асинхронны друг другу), то это вообще другая история - читайте про CDC.
  13. А мне вот кажется логичным, что если народ к вам не идет, то пора поднимать планку. Либо, перемещаться в Зеленоград, к примеру, где с кадрами все хорошо. Акцент переводить не надо, я к вам на работу не прошусь. Проблему обсуждаем вашу, вами же поднятую.
  14. Именно потому что рынок маленький, люди и не спешат менять работу, и не идут в сомнительные стартапы. Вот, к примеру, что такое центр МФТИ? Никто не знает, ни откуда деньги, ни как долго продлится финансирование, ничего. Не говоря уже о том, что кататься в Долгопрудный мало желающих. Вот, к примеру, похожие проблемы были и у стартапов типа Байкала и Тэкона. Но если Тэкон до сих пор работает и что то делает, то Байкал оказался конторой одного чипа; как только деньги кончились, зарплату платить перестали, и все разбежались. Байкал чем то похож на ваш центр - не много было желающих кататься в Красногорск, даже за большие деньги. При поиске работы ведь как везде - чем больше риски, тем больше запрос. Поднимите ставку до 300, и найдутся желающие рискнуть и в Долгопрудный съездить. А в надежных солидных фирмах народ сотку едва получает, и не дергается.
  15. Софт очень быстро устаревает, и очень быстро обновляется. Поэтому старшие товарищи многому не научат, им самим все время учиться приходится. Если уж и идти работать-учиться, то в кеденс/синопсис, там регулярно на внутренние тренинги посылают, и приходится разгребать косяки для клиентов. С практикой там так себе (что быстро наверстывается), но мат. часть будет на пятерочку. Сам лично в кэденс/синопсис не работал, но по слухам есть там подводные камни: быстренько всему научиться и свинтить в другую фирму не получится, придется отработать года 2-3. Из РФ уехать тяжело, к примеру - я уже несколько месяцев пытаюсь найти работу в Европе, и пока вообще никак. Что же касается вакансий по 150-200тыр на хх, это миф. На такие деньги только по знакомству можно устроиться. Кроме того, много контор засвечены не с лучшей стороны, и люди туда просто не хотят идти. Взять Байкал тот же, откуда 3/4 команды в прошлом году сбежала, кто куда. Тут в соседней ветке обсуждают, стоит ли черный список работодателей делать. Но на мой взгляд, работодателей (кто делает эсик) в РФ так мало, и грешков у каждого за душой столько, что уже и на белый список не наберется. Так что работу тяжело найти, а на 150-200 вообще анрил. Поэтому вот честно - RTL кодить куда как проще, с точки зрения поиска работы, да и зарплаты зачастую.
  16. На мой взгляд, лучше учиться по документации (фактически-учебникам) синопсиса из пакета galaxy (есть в закромах). Очень хорошо написано, доходчиво. В то же время, у кэденса жутко путанная и неудобная документация (отлично сочетается с вусмерть забагованым софтом), к тому же - сплошь и рядом неуклюжие попытки скопировать команды/ключи/функционал синопсиса. И в дополнение - команды/ключи постоянно меняются, устаревают, документация очень лаконична, многое просто отсутствует. p.s. Я вовсе не говорю, что кэденс это плохо. Их формула - дешиво и сердито, поэтому и отжимают рынок у старшего брата. И RAK у них удобные (правда, учат в основном в GUI работать, и flow по самым верхам). Но фундамент, все же -в учебниках синопсиса. p.p.s. На мой взгляд, лезть в эсик смысла нет сейчас. В РФ новые дизайн-центры почти не появляются, рынок очень узкий, вакансий нет. К тому же МИЭТ каждый год выпускает пачку специалистов, есть кафедры кэденс, фрискейл и т.д. Т.е. конкурировать будет очень тяжело. Гораздо проще найти работу РТЛ-кодером, где подавляющее число вакансий связано с разработкой ПЛИС. Там и квалификация требуется намного ниже, чем для эсика, т.е. "порог вхождения" ниже.
  17. Да, все так. Читайте синтаксис команд в хелпе - нужны либо пины, либо порты. Вы задали переменную clk_100MHz со значением generator|altpll_component|auto_generated|pll1|clk[0]. В скрипте её можно использовать после задания, да. Почитайте про язык Tcl
  18. Sergey_VV Я лишь указал на то, что кроме ЭТТ есть задачи разработки для высоких температур. Датчики для двигателей ДВС, сопла ракет, турбины самолетов, доменные печи, да мало ли куда может понадобится засунуть электронику. На +125С свет клином не сошелся точно. HTMET - это вольфрам или сплавы. Медь/алюминий не годятся. Основной бонус КНИ в данном случае - вовсе не радстойкость, а низкий ток утечки, что очень важно на высоких температурах. Впрочем, я тоже не технолог, просто немного знаком с проблематикой.
  19. Интересная у Вас аргументация. Я пишу про реальный фаб и процесс, где заявлено 220 градусов, а Вы мне пишете про ЭТТ для отечественной госприемки. Уверен, X-fab разработал свой высокотемпературный КНИ не для того чтобы ЭТТ проходить :laughing:
  20. Про 140-150°С: ведь КМОП для гораздо бОльших температур проектируют, у X-Fab есть завод 1х10, там HTSOI до 220°С. Да и то, наверное, ограничение больше из-за утечек, а не деградации
  21. Он самый. Неужто все на пиратском софте сидят? FAE, это саппорт, по сути.
  22. Автор топика уже сам себе ответил в первом посте - TCP over RS-232. Видел такую штуку в действии. TCP вообще можно поверх любого физического уровня пустить, главное дрова найти. Или здесь троллинг ради троллинга? :-)
  23. А Вы бы констрейнты свои запостили сюда. И тайминг-репорты, которые сомнения вызывают. Дело в том, что set_input_delay надо констрейнить с обоими ключами max и min, как было сказано выше, причем max констрейнится по сетапу, а min - по холду от предыдущего цикла. То же касается и малтисайклов - они обычно используется парой по сетапу и холду, причем по холду указывается цифра на единичку меньше. Несоблюдение этих тонкостей иногда приводит к расколбасу в STA.
  24. Барыги. Политика компании - накрячить на деньги и впарить клиенту ненужные айпи/софт. Но кое в чем важном у них монополия в РФ. Партнером Вы их не станете, а вот клиентом легко -просто позвоните, они с удовольствием сами приедут за Вашими деньгами. Мой совет - постарайтесь сначала напрямую все купить, без посредника. Или, хотя бы узнайте реальные цены. А если лыжи совсем не едут - тогда уже к ним.
  25. Если завод совсем-совсем другой (не материнская фабрика, откуда оборудование списывалось покупалось), то отличия должны на гос. испытаниях вылезти: SRAM сбоит, холды нарушаются на минусе, ESD-защита у падов просела .. в общем, как то слабо верится, что простое копирование вообще прокатит. Но, Вам виднее: видимо, знаете, о чем пишете.
×
×
  • Создать...