Перейти к содержанию
    

Shivers

Свой
  • Постов

    676
  • Зарегистрирован

  • Посещение

Весь контент Shivers


  1. Нетлист это формат structural verilog, он не поддерживает интерфейсы sv. Поэтому все было расфлатовано. И отчасти поэтому же sv очень ограничено используется в эсико-строении. Решение: топ-левел пишите на верилоге, либо вообще все пишите на верилоге. А sv оставьте верификаторам.
  2. Но как бы, речь идет о порядках - нФ и мкФ различаются в 1000 раз. При том что все контроллеры работают одинаково - в килогерцовом диапазаоне. До мегагерца, вроде бы. Т.е. 10нФ и 10 мкФ - две большие разницы, что из них правильнее? И, еще раз - что таки ставить? Обычную X5R/X7R керамику? p.s. Давайте уточню вопрос. Обсуждавшийся на предыдущей странице контроллер LM2733Y (Y - выше вольтаж, до 40В) имеет частоту 600кГц. Какой номинал проходной емкости выбрать?
  3. По номиналу вопросов нет, спасибо! Я имел ввиду другое - какие именно конденсаторы надо ставить в качестве проходных, их тип. Что то мне подсказывает, что бочонки электролиты очень быстро загнутся. Их хорошо только в качестве фильтра ставить, для сглаживания небольших пульсаций. p.s. А, понял. У Вас номинал всего 10n. Не мало ли? Впрочем, мне 50В не надо, а надо +/-15В. Поэтому спрашиваю скорее по схеме wim, он ставил 10мкФ в качестве проходных. А как вообще рассчитывается номинал проходной емкости?
  4. А какие конденсаторы надо ставить в качестве проходных? Тантал, электролит? Для керамики номиналы великоваты. А тантал на 50+В уже ощутимо дороже стоит.
  5. Спасибо за статью! 34063 очень плох, да? Я отстал от жизни :) Две сдвоенные катушки, у которых первые обмотки в параллель - это я и хотел сделать, намотав две обмотки на готовую катушку. Проблема - где достать нужные сдвоенные дроссели, поскольку продают как правило только обычные. К примеру, в Терра-электроника есть всего один такой дроссель на 4.7мкГн, что никуда не годится (нужен номинал в 20-40 раз больше), а в чип-дип вообще все плохо. Т.е. остается только мотать. Или посоветуйте что то )
  6. Спасибо! Попробую замакетировать. Мне только не очень понятно, как работает сенс в таких случаях. Ведь сенс только за одним питанием следит, а не всеми. Вот, на макете и проверю.
  7. Интересно, спасибо! На втором выходе наверное размах сигнала некислый, если через диод, да еще и после LDO, минус пять вольт оставалось.
  8. Спасибо! Жаль, придется схему генератора городить. А так, взял бы с выхода ... :-) А что скажете про апгрейд катушки до трансформатора? Номинал там большой (десятки-сотни мкГн), наверное всего несколько витков поверх хватит, чтобы +/-7.5В сделать. Смакетировать не на чем, да и делают ли так?
  9. Добрый день! Возникла необходимость получить и Step-down (12->5, ~100мА) и дифф. напряжение для операционников (+/- 7.5В) с малым потреблением (~1-10мА). Нагуглил массу референсных схем с 34063, но везде она там либо Step-up, либо step-down, либо инвертер. Отсюда вопрос: Можно ли добавив обмотки на дроссель получить сразу несколько напряжений под управлением одной этой микросхемы? Второй вопрос. На выходе TC (Oscillator Timing Capacitor) есть меандр? Мне как раз нужно клогерц 10-100 в схеме. Если оттуда можно снять меандр, то еще подвопрос - есть ли где то формула задания частоты с помощью внешней емкости? В даташите искал - нету. Не очень еще понятно, насколько стабильна там частота.
  10. Всем привет! Есть вопрос по переносу настроек из одной либы в другую. Как дампить темплейты из загруженной либы понятно, как дампить скелет либы (udata.lib) тоже понятно. А вот как задампить весь хеадер билиотеки, включая пороги, напряжения, пауэр рейлы, переменные, таблицы .. - т.е. все, что идет до селлов?
  11. Возможно, выходной ток слабоват у латтиса, вот и ставят резисторы поменьше. Там ведь на хосте пулл-апы должны быть где то, в пятивольтовой ревизии. А вообще, конечно, опоздали Вы с вопросом лет на 15-20. Кому сейчас нужна плата под параллельный PCI? Персоналок таких почти не осталось, в РФ этой шиной не интересовались особо, тем более - в классическом исполнении с ножевым разъемом. Архаика. Вы еще бы плату с ISA нашли :biggrin:
  12. Главное, что надо знать про холд и сетап, это то, что холд проверяется в текущем такте, а сетап в следующем. Из чего следует, что при нарушении сетапа надо просто понизить частоту, а при нарушении холда - можно выбросить микросхему(эсик) в помойку. Если же хочется разобраться в вопросе более подробно, стоит прочитать это https://habr.com/post/302806/
  13. Так а в чем проблема? Файл D:/example_1v/project_1/project_1.srcs действительно уже есть на диске, и попытка его перезаписи приводит к ошибке? Ну так удаляйте его каждый раз прямо в теле скрипта rm -f D:/example_1v/project_1/project_1.srcs
  14. Я как то баловался тем, что по нетлисту восстанавливал функции, используя перл и библиотеку Verilog-perl. В принципе, ничего сложного здесь нет - из флопа записать конструкцию always, а из логики восстановить и записать assign-функцию. Используя десяток подобных шаблонов можно любой нетлист сконвертить. Конечно, результат будет то же что и обфусцированый верилог - разобраться что есть что, очень тяжело. С другой стороны, такой верилог гораздо информативнее и компактнее нетлиста: если кто то задался целью реверс инжиниринга, подобный конвертер будет крайне полезен. Но очень сомневаюсь что на этом можно заработать, скорее проблем наживете.
  15. Библиотеки бывают разные, даже для одной технологии. Если вы покупаете библиотеку за деньги, то имеете право затребовать нужный элемент. Может, С-элемент вам и не сделают, но три-буф с бас-холдом обязаны, т.к. это совершенно обычные элементы. Сделать три-буф очень просто - надо просто разломить надвое защелку. Один инвертор для управления и один ключ на 4-х транзисторах, итого 6 транзисторов. Всего лишь. Синтезаторы действительно очень не любят, когда на шине больше одного драйвера. Но мультиплексоры, о которых я говорю, можно писать сразу на гейт-левеле, минуя синтез. Потом делается топология этого мультиплексора в P&R туле, выписывается либ и леф .. собственно и всё, его можно использовать в дизайне. STA отлично такие мультиплексоры видит. Не нужен ни аналоговый бэк-энд, ни характеризация, только обычные цифровые бэкэнд тулы.
  16. Если честно, я вообще не понял о чем топик стартер написал - для меня первый пост как на китайском. Но если нужен очень быстрый многовходовой мультиплексор, то раньше часто использовали буферы с третьим состоянием (tribuf) и подтяжкой (bushold). Можно было делать мультиплексоры и 16 в 1 и 32 в 1 - работало очень быстро. Я не уверен, что это хорошее решение на финфетах или даже суб-100нм (из-за паразитов), но если очень надо то имеет смысл проверить.
  17. Различают архитектурный клок-гейтинг (тот что ручками вставляется дизайнером ртл), и как элемент техники лоу пауер. Новых тенденций тут нет уже лет 15 как, используют и тот и другой подход, смотря что хочется получить на выходе. Пример кода с триггером - это обычный флоп с разрешением записи, а вот реализация его может разниться: можно флоп с разрешением поставить, а можно флоп без разрешения, но с клок-гейтом - так схема будет меньше потреблять, но увеличится дерево клока. Если хочется клок-гейты где то генерить, а где то нет, то используют иерархический синтез с разными настройками синтезатора в разных иерархиях. Советую почитать учебники по лоу пауер, там много полезного есть и для просто разработчиков rtl (не вникая в физ дизайн).
  18. tluplus можно из ict сгенерить. Но по большому счету топо режим полезен только при наличии больших макробооков в проекте. Корреляция с постлайаутом в любом случае будет слабая, поскольку синтезатор считает клок идеальным, не умеет считать скью и рассчитывать высоту дерева. Так что топо режим это просто развод клиентов на деньги, ощутимой пользы от него в общем случае не заметно.
  19. У синопсиса свой формат - Milkyway, делается из lef специальной утилитой. Но обычно фабрика сама эти библиотеки поставляет. На мой взгляд, лучше один раз сделать MW и работать потом в DC, чем постоянно иметь дело с насквозь забагованым и неудобным генусом.
  20. Вот, к примеру https://www.eda.ncsu.edu/wiki/FreePDK45:Contents Ищите всякие free pdk, open pdk и т.д. Обычно в комплекте и стандарт селлы идут.
  21. Лично я больше предпочитаю параметры в RTL, чем дефайны. Потому что при синтезе тулы (эсик) добавляют названия и значения параметров в название модуля: после синтеза в любой момент можно узнать, какие параметры использовались. А вот значения дефайнов синтезатор никуда не сохраняет. Итого, из-за дефайнов возникает больше всего ошибок при сборке проекта, и найти баг намного сложнее, чем при неверном задании параметра. Вместе с тем, дефайны до сих пор есть в каждом втором проекте, с которым приходится работать.
  22. В DDR интерфейсах на приеме данных ставят параллельно два флопа: один работает всегда по райзу, второй всегда по фоллу. За ними получаем поток двойной ширины, но обработка идет по одному фронту - райзу, к примеру. Выходные данные DDR - те же два флопа с мультиплексором по выходу. Т.е. выходной поток двойной ширины (и работой по райзу) делится, но и передается наружу по двум фронтам - райзу и фоллу. Так что, все довольно просто.
  23. Хамить и не думал, но - мои извинения. Не хотите читать учебчники? ОК, извольте: На верилоге можно много чего написать, но результатом интерпретации должен стать либо флоп, либо защелка. С клоком возможны манипуляции, к примеру - можно мультиплексировать входной клок со своей инверсией (как в Вашем посте). Если же Вы хотите получить флоп, тактируемый передним фронтом, либо тот же флоп. но тактируемый задним фронтом (в зависимости от параметра компиляции) то используйте конструкцию generate, либо дефайны. Но на мой вкус, это уже ветвление архитектуры, и совмещать такое ветвление в одном файле - неправильно.
  24. Топикстартер жжет. Особенно про DDR-триггер порадовало. Конечно, и DDR-триггер можно сделать, но в ПЛИС используются обычные флип-флопы, состоящие из двух защелок с разнополярным управлением (если точнее - однопроводным двухфазным управлением). В проекте можно использовать сигнал управления записью обеих полярностей, надо только понимать, что это порождает пути длинной пол-периода, т.е. дизайн фактически начинает работать на удвоенной частоте. А вот задач, где надо оперативно инвертировать клок "на лету" я не встречал. Это какая то особо извращенная фантазия топикстартера. По хорошему, лучше идти читать учебник по схемотехнике сначала, а потом браться за верилог.
×
×
  • Создать...