Перейти к содержанию
    

Zeratul

Участник
  • Постов

    110
  • Зарегистрирован

  • Посещение

Весь контент Zeratul


  1. Да действительно работает!! Проверил на ВИН7!! Всем Спасибо кто принимал участие!! Особенно konoval1!! Если кому надо переходите по ссылке изложенной выше и качайте лицген!!
  2. Спасибо за разъяснения послал запрос
  3. Да я б с удовольствием, но я так понял для этого надо приглашение от админа или куча постов полезных а у меня ни того не другого нету!! Или есть более простой путь попасть в свои??
  4. Спасибо всем!!! Иду искать!! Если для 12,3 найду отпишусь!!
  5. а где ее взять??? Подскажите!!! В 12,3 все лицензии находятся в одном файде Xilinx.lic в 11 так же???
  6. Ну что ни у кого даже идей нет где его достать???
  7. Доброе время суток!!! Помогите пожалуйста найти EDK 12.3 license generator обращаюсь к вам так как перерыв в течении 4 суток инет, поймав кучу червей вирусов и еще не пойми чего оного так и не нашел. Если кому не сложно помочь советом по поиску или поделитьтся им, то пишите в личку заранее благодарен!!!
  8. Я хочу подключить свою периферию mpd файл которой я привел ранее. Она показана на рисунке рис BSB1 – Xilinx Board и называется RS485_1_Config Там есть всего один параметр PARAMETER C_EXT_PIN_VAL = 0, DT = INTEGER, RANGE = (0,1), DESC = Value, PERMIT = BASE_USER, VALUES = (1=High, 0=Low)#, IO_IS = VAL именно его я хочу видеть и иметь возможность править в визарде. Точно так же как параметр бодрэйт у уарта.
  9. Ну помогите кто нибудь неужели ни кто не сталкивался дело то хорошее!!Удобное!!
  10. Доброе время суток!! Сразу к делу. Я пытаюсь создать свой борд под ЕДК. Первой проблемой для меня было то, что моя периферия не добавляется в визард. Порывшись немного в документации, я нашел опцию (MPD файла) OPTION USAGE_LEVEL = BASE_USER, которая разрешает добавлять оную в проект с помощью BSB. После этого встала проблема, над которой я бьюсь и поныне. Перепробовав все варианты, прибегаю к вашей помощи, так как сам весь иссяк. Проблема следующая: Так как всякого рода визарды в том числе и BSB созданы для максимального облегчения и упрощения жизни пользователя то моя проблема особенно актуальна. Дело в том что я не могу в самом визарде менять параметры своей периферии. То есть я вижу только название перефирии и все. Все параметры остаются за кадром, их как бы просто нет (но если задать их жестко в самом тексте xbd, то значения нормально присваиваются, тока мне не хочется каждый раз как решил поменять параметры для нового назначения платы лезть и править xbd). Хотя в самой среде они замечательно видны и правятся. Порывшись немного в документации, я нашел атрибут для параметра (MPD файла) PERMIT = BASE_USER, который якобы разрешает править в BSB параметры периферии. Бился, бился как рыба о бетонную стену, но все напрасно моих параметров в BSB так и не появилось. А еще я нашел разницу в названия параметров, когда делаешь проект через Custom Board параметры периферии называются по одному, когда же цепляешь Xilinx Board то у той же периферии меняются хоть и немного имена этих параметров. Это проиллюстрировано на рисунках рис BSB1 – Xilinx Board рис BSB2 – Custom Board Причем для Custom Board имена всех параметров строго соответствуют атрибуту DESC (MPD файла). Откуда же берутся имена для Xilinx Board для меня так и осталось загадкой я даже скачал прогу которая ищет текст в файлах и папках. Нулевой результат нет такого текста (bit per seconds) и все. Если есть какие либо предположения, советы, замечания, сорцы то жду вашей помощи!!! ЗАРАНИЕ СПАСИБО!!!!! вот MPD ################################################################### ## ## Name : man_ext_port ## Desc : Microprocessor Peripheral Description ## : Automatically generated by PsfUtility ## ################################################################### BEGIN man_ext_port ## Peripheral Options OPTION RUN_NGCBUILD = TRUE OPTION IMP_NETLIST = TRUE OPTION STYLE = HDL OPTION HDL = VHDL OPTION IPTYPE = PERIPHERAL OPTION USAGE_LEVEL = BASE_USER OPTION DESC = Manually Configured External Port OPTION LONG_DESC = Manually Configured External Port for Generel Purpose. OPTION IP_GROUP = MICROBLAZE:PPC:Utils OPTION ARCH_SUPPORT_MAP = (OTHERS=PREFERRED) IO_INTERFACE IO_IF = MAN_EXT_PORT, IO_TYPE = MAN_EXT_PORT_V1 ## Generics for VHDL or Parameters for Verilog PARAMETER C_EXT_PIN_VAL = 0, DT = INTEGER, RANGE = (0,1), DESC = Value, PERMIT = BASE_USER, VALUES = (1=High, 0=Low)#, IO_IS = VAL ## Ports PORT MEP = "", DIR = O, IO_IS = CONF_PIN#, INITIALVAL = GND END а вот и XBD # ------------------------------------------------------------- # Copyright(C) 2005 by Xilinx, Inc. All rights reserved. -- # -- # This copyright notice must be retained as part -- # of this text at all times. -- # ------------------------------------------------------------- ATTRIBUTE VENDOR = ya ATTRIBUTE SPEC_URL = www.xilinx.com ATTRIBUTE CONTACT_INFO_URL=http://www.xilinx.com/support/techsup/tappinfo.htm ATTRIBUTE NAME = -- ATTRIBUTE REVISION = 1 ATTRIBUTE DESC = -- ATTRIBUTE LONG_DESC = 'DSE 4000 utilizes Xilinx Spartan-3 XC3S4000-4FG676 device. The board includes 1 CAN serial ports, 1 RS232 serial ports, 8 RS485 serial ports, 10/100 Ethernet port, 2MB SSRAM. Push button X5:1 is used as system reset. ' BEGIN IO_INTERFACE ATTRIBUTE IOTYPE = MAN_EXT_PORT_V1 ATTRIBUTE INSTANCE=RS485_1_Config # PARAMETER C_EXT_PIN_VAL = 1, IO_IS=VAL если так, то нормально выставляется PORT RS485_1_Conf = CONN_RS485_1_Conf, IO_IS = CONF_PIN#, INITIALVAL = GND END BEGIN FPGA ATTRIBUTE INSTANCE = fpga_0 ATTRIBUTE FAMILY = spartan3 ATTRIBUTE DEVICE = XC3S4000 ATTRIBUTE PACKAGE = FG676 ATTRIBUTE SPEED_GRADE = -4 ATTRIBUTE JTAG_POSITION = 2 PORT RS485_1_Conf = CONN_RS485_1_Conf, UCF_NET_STRING=("LOC=M13", "IOSTANDARD = LVCMOS33") END
  11. К передатчику доступа не имею!! Но вроди кое что придумал!! Сейчас потестирую! Потом выложу ВД если все получится!! Спасиби за содействие и информащию!!
  12. HDB3??? Что значит пройтись скремблером 7 кой самосинхронным в каких доках??Есть ссылка или хотяб что нить чтоб облегчило поиск!!?? Почитал по ссылке стало немного понятнее!!тока не нашел там 8б/10б!! Прочитав статью я понял что код ко мне приходит в NRZ! Кстати еще Насколько я понял чтоб правильно перекодировать куданибудь из NRZ нужно сначала зацепитьсяза фазу а уж потом алгоритм свой пускать! Если так то какойсмысл перекодировать!?? Если поймав фазу я смогу спокойно принять NRZ сигнал!?? Для меня главное подстраиваться каждый передний фронт под фазу входного сигнала!!Подстраевать свой клок под него!!
  13. Так дело все и в том что поток синхронизировать по переднему фронту больше не за что зацепиться!! 8b/10b???
  14. Да там все просто идет непрерывный поток 2 Мб/с надо его правильно принять и отослать накомп по изернету. а исходники посмотрел бы, выложите либо сюда либо на мыло [email protected] если не сложно Информация никак не кодируется тоесть: лог 1 = 1, лог 0 = 0 вот и все просто главное не сбиться И кстати гже можно почитать про pll по русски ну или хотябы полезное что нить по английски!! А что за захват частоты в обычном уарте??Там же протоколсвой есть стартоывй стоповый зачем там это ФАПЧ в смысле??!???
  15. Доброе время суток у меня такой вопрос Ко мне приходит поток 2Мб/с причем он идет постоянно может идти сутки в принципе сам прием не сложен нарезал по тактовой на куски забил в фифо и вперед!! Вопрос состоит в седеющем из за нестабильности генераторов на приемной и передающих платах погодных условий и космического ветра)) Может произойти перекос фаз и за сутки накопится неслабая ошибка! Поэтому я хотел бы захватывать фазу сигнала каждый предний фронт я придумал два пути в общем то это 1 путь просто в немного разных исполнениях 1-ый вариант Есть 2а автомата 1 следит за фазой и обнуляет в торой по переднему фронту сигнала 2-ой Считает до середины импульса и забирает сигнал затем еще столько же и сбрасывается в 0 2-ойвариант Есть 2а автомата 1 следит за фазой и обнуляет в торой в по переднему фронту сигнала 2-ой выделяет тактовую из сигнала После чего по тактовой забираем по заднему фронту информацию. Задумка то хороша!!Но исполнение!!Вот вариант №2 Process (Signal, CLK) Variable st : integer range 0 to 31; begin If signal’event and signal = ‘1’ then St := 0; End if; If ( CLK’event and CLK = ‘1’ ) then --Сдесь делаем тактовую Case st is When 0 => CLK_dcm = ‘1’;st++; When 15 =>CLK_dcm = ‘0’;st++; When 31 =>st:=0; When others =>st++; End case; End if; End process; Так вот Xilinx Пишет что эта конструкция с st не синтезабельна из за отсутствия возможности синхронизации!! ВОПРОС как поймать фазу!!Сделать привязку к фазе!!Вообще я за вариант с тактовой по-моему так проще!!Кто сталкивался подскажите!!ЖДУ!!
  16. Естьт такой контроллер HI-6110-1(MIL-STD-1553 / MIL-STD-1760 BC / RT / MT Message Processor (обмен данными)) Мне надо под ЕДК написать драйвер для работы с ним. Если ктонибудь сталкивался с таким или подобными то пожалуйста поделитесь советом или опытом или сорцами если есть возмоджность и желание!! datasheet.pdf
  17. Вот проэкт под xcf32p работает!! Сам тестил!! http://ifolder.ru/10364928 Пароль:55555 ТОка прочитайте в хапп482 как правильно создать мцс файл!!Без этого не заработает!!
  18. Доброе время суток!! Меня интересует следующий вопрос!! У меня есть задача обработать 4 сигнала которые могут приходить одновременно а могут и не одновременно, так вот я написал модуль на ХДЛ для их обработки, но для решения остальных задач мне нужен микроб! Если я вставлю свой модуль в микроб и буду использовать Standalone, а не Xillkernel, то останиться ли параллельность в этом модуле? Просветите знающие!!! Еще вопрос по теме!! Ведь микроб можно всунуть в плис просто отдельным блоком, через ICE и добавить еще свой блок с ХДЛ кодом к этой плис, тогда вопрос можно ли установить взаимодействие между блоком с микробом и блоком с дополнительной ХДЛ программой в плис?? Конечно же вопрос достаточно несуразный!!Так как внешние порты микроба разводятся на физические ножки плис, но все-таки может есть методы установить соединения в плис между двумя этими блоками!! Спасибо за потраченной время!!
  19. Если у вас последовательная Platform Flash то можно воспользоаться XAPP482 от Xilinx, если же нет, то подождите я через день или два выложу проект под xcf32p в теме ssram+flash либо еще можно используя флешьврайтер залить прошивку в стороннюю флешь например в INTEL как предложил starley, поищите на Xilinx там есть пример как все сделать, с картинками!
  20. А в чем вы проектируете?? Если в ЕДК то там есть готовый проект под борд на Sparten3E в котором именно эта флешь используется!! Посмотрите!
  21. ДА!! Все оказалось не так то просто!!! Все дело в секции _Text (куда на сколько я понял помещаеться сама программа) Если грузить ее в накристалку то все работает!!Но если же грузить ее в СРАМ даже для простейшего проэкта - ошибка!! Я порылся в исходниках бутлодера и нашел вот какую вещь static int8_t flash_get_srec_line (uint8_t *buf) { uint8_t c; int8_t count = 0; while (1) { c = *flbuf++; print ("\r\nC=0x"); putnum (c); if (c == 0xD) { /* Eat up the 0xA too */ c = *flbuf++; return 0; } *buf++ = c; count++; print ("\r\nCOUNT=0x"); putnum (count); if (count > SREC_MAX_BYTES) return LD_SREC_LINE_ERROR; } } SREC_MAX_BYTES = 78 flbuf = (uint8_t*)FLASH_IMAGE_BASEADDR - это адрес с которого начинаеться чтение, у меня 0х87000000(флэшка) это кусок бутлодера (он не большой), весь он приведен в приложении. Так вот как вы видите в этой функции опрашиваеться flbuf через с и как тока будет найдена синхропосылка 0хD мы вываливаемся из нее. Я посмотрел терминалом (полностью листинг приведен в приложении) что у нас в с и выяснил удивительную штуку: EDK Bootloader: C=0x00000053 COUNT=0x00000001 C=0x00000030 COUNT=0x00000002 C=0x00000031 COUNT=0x00000003 C=0x00000033 COUNT=0x00000004 C=0x00000030 COUNT=0x00000005 C=0x00000030 COUNT=0x00000006 C=0x00000030 COUNT=0x00000007 C=0x00000030 COUNT=0x00000008 C=0x00000034 COUNT=0x00000009 C=0x00000044 COUNT=0x0000000a C=0x00000035 COUNT=0x0000000b C=0x00000039 COUNT=0x0000000c C=0x00000035 COUNT=0x0000000d C=0x00000046 COUNT=0x0000000e C=0x00000034 COUNT=0x0000000f C=0x00000032 COUNT=0x00000010 C=0x00000034 COUNT=0x00000011 C=0x00000046 COUNT=0x00000012 C=0x00000034 COUNT=0x00000013 C=0x00000046 COUNT=0x00000014 C=0x00000035 COUNT=0x00000015 C=0x00000034 COUNT=0x00000016 C=0x00000032 COUNT=0x00000017 C=0x00000045 COUNT=0x00000018 C=0x00000036 COUNT=0x00000019 C=0x00000035 COUNT=0x0000001a C=0x00000036 COUNT=0x0000001b C=0x00000043 COUNT=0x0000001c C=0x00000036 COUNT=0x0000001d C=0x00000036 COUNT=0x0000001e C=0x00000032 COUNT=0x0000001f C=0x00000045 COUNT=0x00000020 C=0x00000037 COUNT=0x00000021 C=0x00000033 COUNT=0x00000022 C=0x00000037 COUNT=0x00000023 C=0x00000032 COUNT=0x00000024 C=0x00000036 COUNT=0x00000025 C=0x00000035 COUNT=0x00000026 C=0x00000036 COUNT=0x00000027 C=0x00000033 COUNT=0x00000028 C=0x00000037 COUNT=0x00000029 C=0x00000033 COUNT=0x0000002a !!!!C=0x0000000d Bootloader: Processed (0x)00000001 S-records C=0x00000053 COUNT=0x00000001 C=0x00000033 COUNT=0x00000002 C=0x00000030 . . . . . . . COUNT=0x0000002e !!!!C=0x0000000d Bootloader: Processed (0x)00000021 S-records C=0x000000b0 COUNT=0x00000001 C=0x000000b0 COUNT=0x00000002 C=0x000000b0 COUNT=0x00000003 C=0x000000b0 COUNT=0x00000004 C=0x000000b0 COUNT=0x00000005 C=0x000000b0 COUNT=0x00000006 C=0x000000b0 COUNT=0x00000007 C=0x000000b0 COUNT=0x00000008 C=0x000000b0 COUNT=0x00000009 C=0x000000b0 COUNT=0x0000000a C=0x000000b0 COUNT=0x0000000b C=0x000000b0 COUNT=0x0000000c C=0x000000b0 COUNT=0x0000000d C=0x000000b0 COUNT=0x0000000e C=0x000000b0 COUNT=0x0000000f C=0x000000b0 COUNT=0x00000010 C=0x000000b0 COUNT=0x00000011 C=0x000000b0 COUNT=0x00000012 C=0x000000b0 COUNT=0x00000013 C=0x000000b0 COUNT=0x00000014 C=0x000000b0 COUNT=0x00000015 C=0x000000b0 COUNT=0x00000016 C=0x000000b0 COUNT=0x00000017 C=0x000000b0 COUNT=0x00000018 C=0x000000b0 COUNT=0x00000019 C=0x000000b0 COUNT=0x0000001a C=0x000000b0 COUNT=0x0000001b C=0x000000b0 COUNT=0x0000001c C=0x000000b0 COUNT=0x0000001d C=0x000000b0 COUNT=0x0000001e C=0x000000b0 COUNT=0x0000001f C=0x000000b0 COUNT=0x00000020 C=0x000000b0 COUNT=0x00000021 C=0x000000b0 COUNT=0x00000022 C=0x000000b0 COUNT=0x00000023 C=0x000000b0 COUNT=0x00000024 C=0x000000b0 COUNT=0x00000025 C=0x000000b0 COUNT=0x00000026 C=0x000000b0 COUNT=0x00000027 C=0x000000b0 COUNT=0x00000028 C=0x000000b0 COUNT=0x00000029 C=0x000000b0 COUNT=0x0000002a C=0x000000b0 COUNT=0x0000002b C=0x000000b0 COUNT=0x0000002c C=0x000000b0 COUNT=0x0000002d C=0x000000b0 COUNT=0x0000002e C=0x000000b0 COUNT=0x0000002f C=0x000000b0 COUNT=0x00000030 C=0x000000b0 COUNT=0x00000031 C=0x000000b0 COUNT=0x00000032 C=0x000000b0 COUNT=0x00000033 C=0x000000b0 COUNT=0x00000034 C=0x000000b0 COUNT=0x00000035 C=0x000000b0 COUNT=0x00000036 C=0x000000b0 COUNT=0x00000037 C=0x000000b0 COUNT=0x00000038 C=0x000000b0 COUNT=0x00000039 C=0x000000b0 COUNT=0x0000003a C=0x000000b0 COUNT=0x0000003b C=0x000000b0 COUNT=0x0000003c C=0x000000b0 COUNT=0x0000003d C=0x000000b0 COUNT=0x0000003e C=0x000000b0 COUNT=0x0000003f C=0x000000b0 COUNT=0x00000040 C=0x000000b0 COUNT=0x00000041 C=0x000000b0 COUNT=0x00000042 C=0x000000b0 COUNT=0x00000043 C=0x000000b0 COUNT=0x00000044 C=0x000000b0 COUNT=0x00000045 C=0x000000b0 COUNT=0x00000046 C=0x000000b0 COUNT=0x00000047 C=0x000000b0 COUNT=0x00000048 C=0x000000b0 COUNT=0x00000049 C=0x000000b0 COUNT=0x0000004a C=0x000000b0 COUNT=0x0000004b C=0x000000b0 COUNT=0x0000004c C=0x000000b0 COUNT=0x0000004d C=0x000000b0 COUNT=0x0000004e !!!!C=0x000000b0 COUNT=0x0000004fERROR: SREC line is corrupted !!!! - я пометил синхропосылки с залипло на значении b0 C=0x000000b0 и все 78 раз с флэшки читаеться одно и тоже и соответственно синхропосылка 0хD не найдена и мы вываливаемся с ошибкой!! От сюда вопрос что это может быть?? Я неправильно пишу во флэшь?? Не правильно читаю из флэшь?? Или что нибудь не правильно еще?? Подскажите!!Этот бутлодер уже меня замучил!!! Файлик в приложение boot_TERMINAL.txt bootloader.txt
  22. Спасибо за разъяснения!!Приму к сведению!!Кстати сегодня сделал все по вашим советам и все вышло как надо и без внешнего рескта!! Еще раз ОГРОМНОЕ СПАСИБО!!
  23. Я почитал про half latch и понял следующее: Я понимаю это так: Когда I/O ячейка сконфигурирована как вход (или выход в 3-ем состоянии) то используется технология half latch. Эта технология привязывает вход к 1 (слабая привязка это 40-80Ом??) в том случаи если вход должен быть не привязан но пересек порог (какой?? ТТЛ??). Таким образом защищая вход от простоя в линейном регионе (что это?? постоянный уровень??) и увеличивая эффект экономии мощности. Эта слабая привязка к 1 включается автоматически когда ножка не задействована. half latch это принудительная привязка к 1 которая включается когда напряжение ножки I/O в линейном регионе (не 1 не 0). Итак когда напряжение на ножке в trip-point регеоне или выше, то half latch будет включен. Размах trip-point от 0,9В до 1,6В. Идея в том чтоб сигнал не был плавающим и тем самым не расходовал энергию. Если же вы хотите притянуть ножку к 0, то тогда нужен резистор достаточно большого наминала чтоб убрать эффект half latch. Xilinx рекомендует использовать резисторы номиналом 10КОм или меньше. Xilinx не рекомендует использовать CoolRunner XPLA3 в проектах где действительно нужны ножки с плавающим состоянием. Сама сущность технологии Half Latch подразумевает, что XPLA3 I/O pin никогда не достигнут 3-его состояния. Из вышеперечисленного я сделал выводы: - если у нас есть вход или высокоимпедансный выход или незадействованная ножка, то она будет привязана к слабой единице (1,25В??). - так же если ножка плавающая и напряжение на ней от 0,9В до 1,6В то она так же привязывается к слабой единице (1,25В??). И тем самым не пересекает порог срабатывания некоторых устройств(псевдо плавающая). - привязывать к нулю нужно через 10Ком чтоб обойти Half Latch. - не рекомендуется применять эти ПЛМ если нужны сигналы с 3-им состоянием или плавающие, и эту привязку к слабой единице (1,25В??) нельзя отключить! Я правильно понял?? Тоесть вы предлагаете использовать обычный счетчик ведь он всеравно когданибудь дойдет до значения "11111" и передернет ресет в противоположное состояние!!Оч хитро!!Надо попробовать!
  24. Спасибо огромное за сотль полные ответы! Завтра попробую! О результатах вечером расскажу! А сейчас полезу на Xilinx искать доки по XPLA3 и HalfLatch!Кстати HalfLatch - Это полу защелка?? Я использую CoolRunner2 XCR3256XL
×
×
  • Создать...