

zuuuuk
Участник-
Posts
187 -
Joined
-
Last visited
Content Type
Profiles
Forums
Calendar
Everything posted by zuuuuk
-
Как подобрать трансивер
zuuuuk posted a topic in Вопросы аналоговой техники
Добрый день. У меня возник вопрос. как подсчитать растояние на котором будут держать связь 2 трансивера. если Tx 20 Дб Rx -122 дб. у каждого. http://www.terraelectronica.ru/pdf/WENSHING/TRW-400.pdf какя антенна подойдет к этому модулю? спасибо за внимание -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
там действительно идут импульсы. длинна одного нулевого импульсы 15 мк секунд. только возникает вопрос. ACk должен быть 1 бит а не 2. и следовательно длинна нулевых имп. должна быть в 2 раза меньше. я неправ? -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
да там короткие нулевые биты длинной 15 мк секунд. доходят до драйвера CAN. инициализация такая // CAN Controller initialization // CAN: On CANGCON=0x02; CANGIE=0x30; CANGIE |= (1<<ENIT); //all interrupts CANIE2=0x07; CANIE1=0x00; CANHPMOB=0x10; CANBT1=0x10; //0x10 CANBT2=0x0a; //0x0A CANBT3=0x25; //0x25 CANTCON=0x00; #asm("sei"); //enable interrapt //ID tag CANPAGE=00; CANIDT1=0; CANIDT2=0; CANIDT3=0; CANIDT4=0; CANIDM1=0; CANIDM2=0; CANIDM3=0; CANIDM4=0; CANCDMOB |= (1<<DLC3); CANCDMOB|=(1<<CONMOB1); // send messeg CANPAGE=0x01; CANIDT1=0x00; CANIDT2=0x00; CANIDT3=0; CANIDT4=0; CANCDMOB =(1<<DLC0); CANMSG=0x55; CANCDMOB|=(1<<DLC0); CANCDMOB|=(1<<CONMOB0); //send while (1) { }; -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
я соединяю плату (AT90can128+драйвер sn65...) и компьютер USB_CAN. вот 2 узла на шине. плата настроена только на прием. я так понимаю что компьютер не видет мою плату? (плата не овечает ACk)? -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
я наверное слишком надоедлив на этом сайте. но всетаки возможно кто то встречался с этой проблемой. когда я посылаю с компьютера пакет по USB-CAN то он начинает генерировать много пакетов и программа пишет BUSHEAVY. при остановки контроллера его регистр CANGSTA показывает Error Passive Mode. какие могут быть причины такого поведения? -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
qaljoen, извиняюсь за вольное обращение. к большому сожалению проблема оказалась не в скорости. По включению платы она должна посылать пакет на компьютер. затем при посылки пакета с компьютера, USB-CAN начинает генерировать много пакетов. (видимо ждет ответа ACK) Прошу вас посмотрите мои настройки, что в них может быть не правильно. Один моб принимает с id 0 другой отправляет c id 0. CANGSTA |= (1<<ENFG) ; // enable can CANGCON |= (1<<1); //0x02; CANGIE |= (1<<ENRX) | (1<<ENTX); //0x20; CANIE2=0xFF; CANIE1=0x00; CANHPMOB=0x00; //clock for 14.7456 MHz 125kbps CANBT1=0x10; CANBT2=0x0a; CANBT3=0x25 //ID tag CANPAGE=00; CANIDT1=0x00; CANIDT2=0x00; CANIDT3=0; CANIDT4 |= (1<<RTRTAG) ; CANIDM1=0; CANIDM2=0; CANIDM3=0; CANIDM4 |= (1<<RTRMSK) ; CANCDMOB = 0; CANCDMOB|=(1<<DLC0); CANCDMOB|=(1<<CONMOB1) | (1<<RPLV) ; // send messeg CANPAGE=0x20; CANIDT1=0x00; CANIDT2=0x00; CANIDT3=0; CANIDT4=0; CANCDMOB =0x0; CANMSG=0x00; CANCDMOB|=(1<<DLC0); CANCDMOB|=(1<<CONMOB0); //send While (1); -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
великое тебе спасибо.. поиск по форуму что ли? -
Настройка скорости CAN АТ90CAN128
zuuuuk replied to zuuuuk's topic in Интерфейсы
Помогите подсчитать коэфициэнты для скорости 125 кб/с CANBT1 CANBT2 CANBT3 частота контроллера 14.7456 MHz ничего не выходит. вроде все правильно но преобразователь USB-CAN начинает генерить как семасшедший. -
Настройка скорости CAN АТ90CAN128
zuuuuk posted a topic in Интерфейсы
Доброго времени суток. я использую контроллер AT90CAN128. для соединения компа и платы использую USB-CAN. Подскажите пожалуйста возможно ли организовать стандартную скорость в сети CAN (125 кб/с 500 кб/с) при тактовой частоте контроллера 14.7456 MHz. вот мои настройки для 125 кб/с CANGSTA |= (1<<ENFG) ; // enable can CANGCON |= (1<<1); //0x02; CANGIE |= (1<<ENRX) | (1<<ENTX); //0x20; CANIE2=0xFF; CANIE1=0x00; CANHPMOB=0x00; CANTCON=0xf0; CANBT1=0x0c; CANBT2=0x4e; CANBT3=0x58; где то закралась ошибка. не могу найти где? буду признателен за помощ. еще подскажите для чего необходим CAN timing. в доке написано A programmable 16-bit timer is used for message stamping. для какой штамповки он нужен? я не понимаю зарание благодарю. -
сеть CAN
zuuuuk replied to zuuuuk's topic in Controller Area Network (CAN)
спасибо я все нашол. фирма sys tec -
сеть CAN
zuuuuk posted a topic in Controller Area Network (CAN)
доброго времени суток. мне необходимо объеденить несколько линий шины CAN в одну и подключить к ноутбуку подскажите есть ли хаб для шины CAN? и какой лучше? -
как записать данные в определенную облать памяти
zuuuuk replied to zuuuuk's topic in GNU/OpenSource средства разработки
есть ли какой нибудь пример по использованию скриптов для линкера подобным образом. (для распределния структуры в определенной области ппамяти) а то маны читать то можно но с примером проще разобраться. -
как записать данные в определенную облать памяти
zuuuuk replied to zuuuuk's topic in GNU/OpenSource средства разработки
возможно ли это сделать через ликовочные скрипты -
Дброго времени суток. я испоьзую ARM 7. и опенсоровский компилятор gcc подскажите пожалуйста, как на языке С записать структуру данных в определенную облать памяти? зарание благодарю
-
Преобразование собственных типов в std_logic_vector
zuuuuk replied to zuuuuk's topic in Языки проектирования на ПЛИС (FPGA)
как произвести перезапись r.bs <= tmp -
доброго времени суток. хотелось бы узнать как можно произвести преобразование сигналов собственного типа в стандартный. type bs is record signal timer1 : std_logic_vector (3 downto 0); signal timer2 : std_logic_vector (3 downto 0); end type bs; type my_type is record signal bs : bs; signal tk : bs; end type my_type signal r : my_type; signal tmp : std_logic_vector (7 downto 0); begin tmp<=r.bs; можно ли объеденить сигнал r.bs в шину std_logic_vector (7 downto 0) ведь этот сигнал занимает всего 8 линий. так же как и std_logic_vector (7 downto 0).
-
как работать с std_logic_vector?
zuuuuk replied to zuuuuk's topic in Языки проектирования на ПЛИС (FPGA)
исчерпывающий ответ. блогодарю за внимание -
как работать с std_logic_vector?
zuuuuk posted a topic in Языки проектирования на ПЛИС (FPGA)
доброго времени суток. я очень часто видел код vhdl, где происходило прибовление 1 в типу std_logic_vector почему у меня подобный код не работает Library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; entity fb is port ( clk : in std_logic_vector; ); end; architecture fr of fb is begin process (clk) variable tmp : std_logic_vector (10 downto 0); variable tt : std_logic_vector (10 downto 0); begin if rising_edge ( clk ) then tmp := tt +1; end if; end process; end; -
Загрузщик для elf файла
zuuuuk posted a topic in GNU/OpenSource средства разработки
доброго времени суток. Мне бы хотелось узнать как пишится загрузщик для .elf файлов и как все это дело линкуется. поделитесь примерчиком.. покажите ссылки на статьи если кто знает меня это интересует В позновательных целях. зарание благодарю -
Часы реального времени на vhdl
zuuuuk posted a topic in Языки проектирования на ПЛИС (FPGA)
доброго времени суток. поделитесь исходниками часов реального врмени реализованные на vhdl или verilog зы: зарание благодарю -
Прграммы для Linux+ARM
zuuuuk replied to zuuuuk's topic in Программирование
на плате нет ни клавиатуры ни монитора. как же к этой плате (Linux) подключится -
Прграммы для Linux+ARM
zuuuuk posted a topic in Программирование
Доброго времени суток. хотелось бы проконсультироваться, поскольку сам новичёк. -Как подключаеться программа (написанная мной) к Linux который стоит на ARM? ее нужно залить в файловую систему linux и потом запускать? или как. или ее надо компилить в месте с исходниками Linux? зарание благодарен -
Ламерский вопрос по Synplify
zuuuuk posted a topic in Среды разработки - обсуждаем САПРы
я не могу понять почему названия символов отображуються по разному? хотя VHDl код используется один и тот же, просто Проекты разные. http://img15.imageshack.us/my.php?image=gen2y.jpg http://img15.imageshack.us/my.php?image=genddw.jpg зарание благодарю. -
Вопрос по отображению в Synplify
zuuuuk replied to zuuuuk's topic in Среды разработки - обсуждаем САПРы
Последний вопрос. Подскажите, почему имена символов отображаются по разному? -
Вопрос по отображению в Synplify
zuuuuk replied to zuuuuk's topic in Среды разработки - обсуждаем САПРы
дело в том что я сам скрипт не менял. это два совершенно одинаковых проекта. (просто скопированных) и менял я только верхний уровень. впринципе там есть config фаил в котором задаються чипы производителей, но я его не менял. как можно исправить эту проблему?