Перейти к содержанию
    

seven7

Участник
  • Постов

    72
  • Зарегистрирован

  • Посещение

Весь контент seven7


  1. у нас студенты защищаются, тематика - различные хдл блоки под спартан 3 можно поделиться подробности по мылу spam4me(собака)front.ru
  2. Мухи отдельно, котлеты отдельно. По поводу паразитных составляющих. В приложенном графике подпись, что присутствуют паразитные составляющие из-за присутствия тока утечки управляющего входа ГУНа. Даже не полянились замерить его 27nA. Это много. Поэтому они и замерили его, чтоб объяснить эти спуры. Можно даже точно посчитать уровень этих спуров исходя из тока. Используйте активный петлевой фильтр с операционником с входным током пару пикоампер и будет счастье в этом вопросе. Плюс порядок фильтра побольше, если что. Я об этом кое-что писал уже http://electronix.ru/forum/index.php?showtopic=30752. По поводу синтезировать сигнал -120 дБ/Гц на 100 кГц на 10 ГГц. Это другой ворпос. Тут намного труднее, особенно без опыта. С гуном на ДР в принципе возможно. А с evolution board с 4107 с широкополосным ГУНом не получите, его не стоило покупать чтоб понять это.
  3. IF input voltage max 1.5Vpp значит максимум на I и Q можно подавать 1.5 В от пика до пика сколько в точности не пишут т.к. есть понятие "Optimized I/Q phase and amplitude balance" вот это сам на практике найдешь по опыту симметричность и оптимизирование этих I и Q имеет большое влияние на подавление несущей и т.п. так то, чем больше уровень на I и Q, тем больше мощность полезного сигнала на выходе т.е. соотношение между полезным сигналом и несущей лучше
  4. Надо учить мат. часть или хотя бы читать хелп на прогу. По поводу первой схемы фильтра в хелпе написано: This filter provides two poles (one a perfect integrator) and a zero resulting in a third order loop. This configuration is not recommended for design as the lack of prefiltering exposes the op-amp to the short current pulses from the phase detector. The op amp is unlikely to be able to respond to these in a linear fashion and poor performance may result. Use of the following prefiltered design is recommended instead. Если кратко, на выходе PLL токовые короткие импульсы и не всякий операционник справится, хотя бы по полосе. И по этому схема может не заработать и не рекомендуется к использованию. Рекомендуется использоваь схемы с префильтром (это схемы выходе PLL в которых есть конденсатор на землю). Во второй схеме есть префильтр и она работает и ограничения на операционник не такие жесткие: у него должна быть полоса не уже полосы выбранного полоса петлевого фильтра и маленький входной ток (большой входной импеданс), т.е. операционник желательно с так называемым FET или CMOS входом. Мне из опыта разработки синтезаторов с перестройкой более октавы (т.е. частота перестройки более чем 2 раза) и большими N (до частот 10ГГц) более превликательна схема, которая прикреплена. Преймущество от вами приведенной схемы с префильтром в том что выход PLL всегда работает на напряжение равное половине питания charge pump, а это минимизирует spurs частоты сравнения. Удачи! filt.bmp
  5. Полоса - 100 МГц. Спасибо за советы :a14: , буду думать
  6. не подскажите, какой фильтр можно сделать на 1.5 ГГц важны потери и размеры потери не более 1 дБ подавление на отстройке 1.2 не менее 20-30 дБ
  7. Может заинтересует: покупал тут не сказать, что дешевые
  8. ФНЧ

    Можно упростить себе задачу. У Minicircuits есть серия керамических фильтров LFCN. Например используя LFCN-2250 и LFCN-5000 от 3ГГц до 10 ГГц получится подавление лучше 30 дБ. Легко доставаемы и цены не запредельны, выигрываете в габаритах. А на плате соорудите простой фильтр чтоб дотянуть ТХ до желаемых. Какой кстати материал платы хотете использовать?
  9. Делал ФНЧ на 2.2 ГГц, на 1.2 (2.6 ГГц) подавление -58 дБ, потери чуть лучше указанных. Фильтр эллиптический, 7 порядка. Моделирую в ADS. На рисунке можно посмтореть. Я бы сделал последовательно эллептический ФНЧ и ФВЧ 3 и 5 порядка соответственно. По габаритам вроде тоже влазит, но окончательно надо еще смотреть. ФВЧ сложнее так там есть емкости но используя спец. емкости например ATC, результаты должны быть приемлимыми, по крайней мере предварительное моделирование показало. Есть правда хитрости, т.к. на этих частотах эпсилон у ФР4 с частотой гуляет (уменьшается) и др. У меня со второго раза получилось. На фото первая версия, пришлось накатать немного фольги. Если есть возможность использовать керамические, я бы так и сделал.
  10. Места не хватает (макроселов) потому что написал то что не задумывал. Начиная с того что в твоей записи CLK вообще не используется, хотя стоит в списке. Если б читал warning'и то увидел бы это. А то что ты хотел можно описать хотя бы так entity MyLed is port ( CLK : in STD_LOGIC; DOUT : out STD_LOGIC_VECTOR (7 downto 0) ); end MyLed; architecture Led of MyLed is signal COUNTA: std_logic_vector(2 downto 0) := (others => '0'); signal COUNTB: std_logic_vector(23 downto 0) := (others => '0'); constant DIV: integer := 10000000; begin process(CLK) begin if CLK='1' and CLK'event then COUNTB <= COUNTB+1; if COUNTB=DIV then COUNTB <= (others => '0'); if COUNTA = 7 then COUNTA <= (others => '0'); else COUNTA <= COUNTA+1; end if; end if; end if; end process; with COUNTA select DOUT<= "11111110" when "000", --1 "11111101" when "001", --2 "11111011" when "010", --3 "11110111" when "011", --4 "11101111" when "100", --5 "11011111" when "101", --6 "10111111" when "110", --7 "01111111" when "111", --8 "00000000" when others; end Led; Счетчик COUNTB увееличивается каждый такт. Когда COUNTB достигает DIV, то обнуляется а COUNTA увеличивается. COUNTA декодируется в выход на сведодиоды. Меняя DIV, изменяешь скорость переключения.
  11. Я привел числа, которые оценочные, но гарантированные, т.е. считая что шум распределен равномерно я не буду за уши приподнимать результаты. Большая детализация будет менее надежна. Например взять типикал распределение для ECL делителей из умной книги (digital 3) и сравнить с приведенной для CND2050 характеристикой. Вроде получается что на 10 Гц CND2050 на 10 дБ лучше, а уже с 100 Гц приблизительно в пределах 5 дБ одинаково. Обрати внимание что в картинке нормировано к 10 ГГц, а в даташите к 1 ГГц.
  12. Ага, так и сделал. Всем спасибо.
  13. Необходимо термостатировать СВЧ блок и соответственно нужен нагревательный элемент для чего предполагается использовать мощный резистор мощностью от 20 Вт и более удобный для крепления к поверхности, скажем в TO-220 или др. Не подскажите где можно такой приорести или как вы решаете данную задачу?
  14. недавно только написал прогу на асме для атмеги48, могу поделится если разберешься. проблемы с чем? не понятно какие данные в него загружать или непонятно как прогу писать? если первое то можешь скачать прогу ( http://www.analog.com/Analog_Root/static/t...adSoftware.html ) может полегчает. если второе то ты не сюда написал, тебе надо в раздел программирования микроконтроллеров
  15. Там есть параметр RMS джиттер по выходу (макс 2 пс). Это интегральная характеристика шумов на основании которой можно сделать оценку. 4.5ГГц/4 ~ 1ГГц (период ~ 1000пс). Т.е. интергальное отношение шумов -60dBc. Теперь если интересует уровень фазовых шумов на некотрой отстройке, например, 1кГц, то в худшем случае (шумы равномерно распределены в полосе до 1 кГц) получается -90 dBc. Это в самом худшем случае. А если брать типикал значение джиттера 0.2 пс и считать что шум размазан как миниммум в 100 кГц то получается -124 dBc. Это все оценка потому что не известно как шум распределен.
  16. Кто такой балун еще как то понятно balun - BALanced-to-UNbalanced transformer http://www.odyseus.nildram.co.uk/RFMicrowa...un%20Design.pdf Но что значит "для измерения миксера" не совсем понятно. Есть только предположение, что вход миксера дифференциальный и нужен трансформатор для перехода, например, с коаксиала на этот вход. Хотя автор ничего про полосу не упомянул, опять же можно предположить что он с узкой полосой т.к. используется по входу LO, как для HMC496LP3 например.
  17. изготавливает Amphenol купить в москве можно в буром медведе http://www.brownbear.ru/products.php?id_to...page_products=1 может у них есть представитьльство на украине
  18. Могу ошибаться, мне так говорили когда учили, значит в этом соврали :( Сам не имел на практике дела с сп1/2 и вертексом
  19. У Altera, такая возможность обзывается bus-hold. Это уже обсуждалось, вот, здесь, например, http://electronix.ru/forum/index.php?showt...&hl=pull-up не совсем то! это если шина выходит наружу. А меня интересует как это реализовать внутри ПЛИС, то есть если внутри ПЛИС блоки все висят на одной шине, и когда не выбраны отпускают ее в Z, но вот хочется чтобы когда все ее в Z отпустили она была бы в нуле. Внутри ПЛИС нет как такового Z состояния. По-моему в втором спартане была что-то наподобие Z-состояния т.е. там была логика типа открытого коллектора и pullup резистора поэтому могли несколько нетов к одной точки подключаться. То что я предложил тебе написать, только лишь для того, чтоб синтезатор понял, какую логику ты хочешь построить. Потом при синтезе он ругнется что заменил, то что ты хотел, на комбинаторику. Вроде такого Xst:2040 - Unit GCTL: 2 multi-source signals are replaced by logic (pull-up yes): FXP_MAIN0/nREQOE, FXP_MAIN0/nREQOUT. Но это будет работать, если написано правильно. С кейсом работать generic не будет по определению. См. параграф 8.8 IEEE Standard VHDL Language Reference Manual
  20. Попробуй без Pre_Q :) Будет ругаться что порт типа out не может считываться, т.к. у тебя есть операции типа: Pre_Q <= Pre_Q + 1;
  21. Первый вопрос: Если правильно понял вопрос, такое делал и вроде работало. Надо сделать instantiation: Library UNISIM; use UNISIM.vcomponents.all; PULLDOWN_inst : PULLDOWN port map ( O => SIGNAL_NAME ); для шины через generate: PULLDOWN_BUS_inst: for i in 0 to BUS_WIDTH-1 generate begin PULLDOWN_inst : PULLDOWN port map ( O => BUS_NAME(i) ); end generate; Не уверен но через атрибуты тоже можно попробовать: attribute pulldown: string; attribute pulldown of SIGNAL_NAME: signal is "yes"; Для шины аналогично: attribute pulldown of BUS_NAME: signal is "yes"; Второй вопрос тоже решал бы через generic, почему case не работает не понял, надо увидеть код чтоб понять, почему не хочет синтезатор кушать :) Как generic передавать через графику не знаю, потому что графикой не пользуюсь :)
  22. может тут http://www.quartz1.ru/R/sma.htm
×
×
  • Создать...