Перейти к содержанию
    

DSIoffe

Свой
  • Постов

    1 988
  • Зарегистрирован

  • Посещение

  • Победитель дней

    1

Сообщения, опубликованные DSIoffe


  1. Здравствуйте все!

    Какое питание должно быть у банка, в котором есть выходы TLVDS, для серии GW1N-9?

    В datasheet в "Table 3-1 Output I/O Standards and Configuration Options" сказано, что 2,5 или 3,3 В.

    Но САПР не даёт указать питание банка 3,3 В, пишет такое:

    ERROR  (CT1019) : Buf('OutData4_buf') IO type is 'LVDS25', but bank vccio is '3.3'

    Где правда? 3,3 В на питание подавать нельзя? Или есть хитрый способ объяснить САПРу, что можно?

    Заранее признателен.

  2. И ещё вопрос до кучи. На дифференциальной паре стоит согласующий резистор. AD подводит проводники дифф. пары к центрам его контактных площадок, как показано на приложенном рисунке. Такие участки тоже считаются uncoupled. Можно ли (и нужно ли) руками выпрямлять проводники и делать от них перпендикулярные отводы к центрам контактных площадок?

    Заранее признателен.

    Буфер обмена01.png

  3. 3 часа назад, Uladzimir сказал:

    Длина не причем. Вот это проверяйте.

    Огромное спасибо! Это оно.

    Заодно эта штриховка помогла увидеть остатки проводников на контактных площадках, которые почему-то не удалились командой Un-Route Connection. Эти остатки тоже, естественно, включались в подсчёт длины проводников, и штриховались тоже.

    А не подскажете простенький текст о том, как правильно установить это Max Uncoupled Length для конкретного случая? У меня по умолчанию стояло 5 мм, и уже на нескольких проектах прокатывало.

  4. Здравствуйте все!

    Скажите, пожалуйста, что означает штриховка, которая появляется на некоторых дифференциальных парах после их редактирования?

    Картинку прикладываю.

    Длины пар соответствуют правилам, заданным в проекте, как внутри одной пары, так и в классе пар.

    Заранее признателен.

    Буфер обмена01.png

  5. Здравствуйте все!

    Читаю datasheet на GW1N "GW1N series of FPGA Products", DS100-2.6.5E, 03/11/2022. На странице 60 есть таблица 4-12 "IOB Single‐Ended DC Electrical Characteristic". Там написано, что для входов с уровнями LVCMOS12 максимальное входное напряжение высокого уровня 3,6 В.

    Подскажите, пожалуйста, кто знает: это значит, что на входы банка ввода-вывода с питанием 1,2 В я могу подавать сигналы с уровнями до 3,6 В без всяких преобразователей уровня? Или я что-то неправильно понял?

    Заранее признателен.

  6. 3 часа назад, peshkoff сказал:

    альтиум так себя ведет при двух проблемах: 1. вин7 2. Старое железо (видео, проц, память) ему все новое нужно

    У меня железу лет 12 навскидку. Но win10x64. Работает нормально, версия 20.6.

  7. Здравствуйте все!

    У Gowin есть три способа организации входов MIPI CSI-2: на входах true LVDS, на emulated LVDS и MIPI IO. Первые два способа требуют внешних резисторных цепей, последний - не требует. Разницу в скорости для этих трёх способов я не смог найти, смотрел datasheet на GW1N и GW1NR. Вроде бы варианты с резисторами должны работать медленнее из-за паразитных емкостей?

    Подскажите, пожалуйста, если кто пробовал или просто знает: с резисторными цепями можно получить 1200 Mbit/s на канал?

    Заранее признателен.

  8. Не-не, меня уже научил знающий (много) человек. Бывает волшебная строчка в файле lpf, которая указывает САПРу, на какой блок D-PHY отображать данное ядро. Вот такого вида.

    LOCATE COMP <имя компонента> SITE "MIPIDPHY1"

  9. Здравствуйте все!

    У ПЛИС CrossLink от Lattice два аппаратных блока D-PHY. Мне нужен один. Я создаю экземпляр IP ядра, конкретно - CMOS to DPHY. Как мне привязать выходы этого ядра к выводам нужного мне блока D-PHY? САПР делает это по своему усмотрению, и как раз не на тот аппаратный блок D-PHY, который разведён у меня на плате. Назначение через файл lpf не проходит, пишут, что такого порта нет, и что пользователь не имеет права что-то назначать на этот вывод. В Spreadsheet View эти выводы видны, но с ними ничего нельзя сделать.

    Заранее признателен.

  10. 28.01.2022 в 15:07, StewartLittle сказал:

    Для желающих RN v1.9.8.03 во вложении.

    Пишут, что добавлено IP ядро MJPEG Encoder. Я пробую найти что-нибудь про него на сайте Gowin. В общем списке ядер https://www.gowinsemi.com/en/support/ip/?page=1 я его не нашёл. Поиск по сайту сказал No Result. В списке IP Core Generator оно есть, но неактивно. Английская ссылка показывает на тот же список ядер, по китайской ссылке нечего скачать.

    Кто-нибудь знает хоть какие-то подробности про это ядро?

    Заранее признателен.

  11. Странно как-то. У меня такой порядок действий, AD 20.2:

    1) Нажимаю Shift там, где должен быть центр вращения.

    2) Шевелю мышью при нажатой правой кнопке. При этом не обязательно вообще попадать мышью в плату, вертеться будет всё равно. Движения мышью поначалу казались неудобными, но потом я адаптировался, и стало хорошо :)

    Если что-то не устраивает, можно отпустить Shift, нажать его в новом месте и продолжать.

  12. 18 часов назад, Strob сказал:

    Самурай правильно говорит, создаёте анконстрэйнд массив, инициализируете, затем атрибутом забираете его размер в константу memorysize

    В ответ пишет: Attribute "right" requires a constrained array prefix.

    18 часов назад, Strob сказал:

    Используйте 9х"000".

    Пишет: Length field for bit string literal is not defined until VHDL 2008.

    А жаль.

  13. Не то я спросил, прошу прощения.

    У меня массив векторов, который я по ходу дела дописываю. Каждый раз при увеличении размера приходится пересчитывать новые куски и править MemorySize. Это потому, что некий счётчик должен перебрать все векторы и остановиться на последнем, досчитав до MemorySize. Можно ли сделать так: я дописываю десяток-другой строк, а размер массива как-то определяется автоматически, и счётчик считает до этого определённого числа?

    Заранее признателен.

  14. 1 час назад, Dr.Alex сказал:

    Хоть бы привели объявление этого массива для определённости.

    Легко.

      constant MemorySize : natural := 10;
      subtype InputType is std_logic_vector(8 downto 0);  --hs_en & data_in
      type InputArray is array (0 to MemorySize - 1) of InputType;
      constant InputData : InputArray := (
        '0' & X"00",
        '1' & X"00",
        '1' & X"00",
        '1' & X"00",
        '1' & X"00",
        '1' & X"00",
        '1' & X"B0",
        '1' & X"00",
        '1' & X"00",
        '1' & X"2H"
        );

    Спасибо.

  15. Здравствуйте все!

    У меня есть массив из std_logic_vector. Я его временами дописываю, так надо.

    Есть ли способ получить в коде его размер? Вроде функции SizeOf в Паскале.

    Заранее признателен.

  16. 1 час назад, Yuri124 сказал:

    Можно переходные отверстия прямо внутри пада делать.

    Мы тоже так сделали как-то раз, для шага 0,4 мм. Проводники/зазоры были по 0,075. Замучились из Китая ждать. Там как раз ковид разгулялся, фабрики стояли. Плюс "объективные" организационные причины. Итого срок получился под полгода и цена немыслимая совершенно, страшно вспомнить. Интересно, сейчас у нас такое делают? "Резонит" когда-то собирался.

  17. 18 часов назад, Ruslan1 сказал:

    Или можно и небольшие (малошариковые?) BGA на 4 слоях использовать и я зря их стороной обхожу?

    BGA с шагом до 0,5 мм включительно разводятся по продвинутым нормам "Резонита", с переходными отверстиями на диагоналях между выводами. Даже не надо обращаться к технологам. Я просто отправлял проект через их сайт, не было проблем.

    27 минут назад, Ruslan1 сказал:

    Фиговая среда разработки? несоответствие документации и реального камня? Какое-то уж очень масло маслянное... 

    Среда разработки мне очень понравилась. Самая быстрая из всех, с которыми я работал. Простая и интуитивно понятная. Я получил оценочную плату во второй половине дня, на другой день уже моргал там светодиодами.

    Документация фиговата, это да. Но разобраться можно.

  18. 14 часов назад, Sergey_Aleksandrovi4 сказал:

    Как давно и почему вдруг Micro Cap стал вдруг беплатным?

    Вот ссылка: http://www.spectrum-soft.com/download/download.shtm . Всё бесплатно. Грустно, конечно, хорошая вещь. Но уж очень он был дорогой.

×
×
  • Создать...