Перейти к содержанию
    

BadNonse

Участник
  • Постов

    10
  • Зарегистрирован

  • Посещение

Весь контент BadNonse


  1. Спасибо большое, прочту по ошибку.
  2. При оптимизации default или -O0 и скорости 20-50khz, через раз начал пинговаться, но все также хаотично останавливается. И ещё вопрос, а какие правильные настройки должны быть у программатора? У меня работает только при Erase full chip + Program+Verify+Reset and Run.
  3. Пробовал скорость от 500khz до 10mhz, ничего не меняется, все также, остановки с странных местах. Возможно это из-за таймера? И меня ещё смущает, что в обработку HardFault_Hendler нельзя поставить точку останова, исходя из этого , предполагаю, что процесс труда никогда не попадет.
  4. Кабель меняли, платы менял ( есть своя, а есть борд от LDM_SYSTEMS с таким же МК), эмуляторов тоже 2, но правда одной и той же модели. Сейчас попробую изменить скорость.
  5. Добрый день, прошу помощи в пояснении проблемы. Есть код, написанный в Keil 5.25, на микроконтроллер 1986ВЕ1Т. В нем используются интерфейсы UART и Ethernet. При работе с функциями относящимися к Ethernet, при Debuge по нажатию Run(F5) случаются остановки в случайных строках в коде(без точек останова),затем, если несколько раз так запускать в конечном итоге окажемся в HartFault_Hendlere. Но если плату запускать без Debuga, а просто подачей питания, код выполняется корректно. Есть связь с ПК, могу пинговать, подключаться через терминал по Telnet, TCP. Отправлять и принимать данные. А вот из под Debuga так не получается, выполнение все время останавается, от этого и не устанавливается соединение по Ethernet. Невозможно отлаживаться. При работе только с UART ( при закоментированных вызовах функций относящихся к Ethernet) программа выполняется без ошибок из под Debuga(CMSIS-DAP Debugger) и без него.(Т.е. при подаче питания и загрузке МК). По F5 переходит к точкам останова, а при их отсутствии "крутится в while". Прошу помощи разобраться, в чем может быть проблема?
  6. Спасибо за подсказку, сам уже задумывался, что возможно проблема на физическом уровне .
  7. Добрый день, хочу реализовать проект для дисплея F-51852GNFQJ-LB-AIN , у него стоит контроллер NJU6676. Но у меня не получается его инициализировать. По тех.документации использую скорость записи с запасом. Временные задержки на выполнение команд я нигде не нашел. В интернете находил примеры кода инициализации на языке Си, писал аналогичные инструкции на Verilog и отправлял в контроллер, но ноль реакции. Возможно кто-то работал с данным контроллером и может подсказать, как правильно его инициализировать. Работаю по интерфейсу Intel 8080.
  8. У меня аналогичная надпись. Регистрировал аккаунт ещё год назад.
  9. Добрый день, кто-нибудь пользуется Siemens EDA Questa*-Intel FPGA and Starter Edition ? Я установил версию 21.3 , которую скачал с официального сайта, в мануале на лицензирование "Intel® FPGA Software Installation and Licensing" указано, что "Intel offers the entry-level Intel Quartus Prime Lite Edition and Questa*-Intel FPGA Starter Edition software. This software supports selected devices, provides limited feature support, and does not require license files." Я так понимаю для Questa*-Intel FPGA Starter Edition нужно покупать лицензию, но при запуске программы меня выкидывает, и всплывает предупреждение о отсутствии лицензии. Как на сайте Intel выпросить лицензию под "Questa*-Intel FPGA Starter Edition" на год, что-то не разобрался. (Прочел,что именно это и необходимо сделать.) Может кто-нибудь подсказать, каким образом возможно пользоваться данным продуктом?
  10. Добрый день, не могу произвести компиляцию добавленных файлов в проект (проект Questa Sim'а), а следовательно и симуляцию. В окне "Project" ПКМ-Compile-Compile All. выскакивает ошибка "All oprimizations are disabled because the -novopt option is in effect....." В файле modelsim.ini убрал значение у VoptFlow с 1 на 0. Пробовал добавлять в блок [vopt] Coverage = sbceft CoverOpt = 1 как описывалось в одной из тем на данном сайте, но тоже не помогло. Библиотеки на ПЛИС в проект Questa Sim подключены. Использую Quartus 13.1. P.S. Хотя аналогичные действия с данным проектом(добавленными файлами) в Modelsim SE 10.5 проходят без проблем. Имеется ввиду Compile and Simulation.
×
×
  • Создать...